Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jean-Francois de Marneffe is active.

Publication


Featured researches published by Jean-Francois de Marneffe.


Journal of Applied Physics | 2013

Plasma processing of low-k dielectrics

Mikhail R. Baklanov; Jean-Francois de Marneffe; D. Shamiryan; Adam M. Urbanowicz; Hualiang Shi; T. V. Rakhimova; Huai Huang; Paul S. Ho

This paper presents an in-depth overview of the present status and novel developments in the field of plasma processing of low dielectric constant (low-k) materials developed for advanced interconnects in ULSI technology. The paper summarizes the major achievements accomplished during the last 10 years. It includes analysis of advanced experimental techniques that have been used, which are most appropriate for low-k patterning and resist strip, selection of chemistries, patterning strategies, masking materials, analytical techniques, and challenges appearing during the integration. Detailed discussions are devoted to the etch mechanisms of low-k materials and their degradation during the plasma processing. The problem of k-value degradation (plasma damage) is a key issue for the integration, and it is becoming more difficult and challenging as the dielectric constant of low-k materials scales down. Results obtained with new experimental methods, like the small gap technique and multi-beams systems with separated sources of ions, vacuum ultraviolet light, and radicals, are discussed in detail. The methods allowing reduction of plasma damage and restoration of dielectric properties of damaged low-k materials are also discussed.


Journal of Vacuum Science & Technology B | 2014

Quantitative characterization of pore stuffing and unstuffing for postporosity plasma protection of low-k materials

Markus Heyne; Liping Zhang; Junjun Liu; Iftikar Ahmad; Dorel Toma; Jean-Francois de Marneffe; Stefan De Gendt; Mikhail R. Baklanov

The problem of k-value degradation (plasma damage) is a key issue for the integration, and it is becoming more challenging as the dielectric constant of low-k materials scales down. One way to circumvent this issue is temporarily conversion of low-k material from a porous to a dense state by filling the pores with a sacrificial polymer after the deposition and curing of the low-k material. A detailed process scheme for the pore stuffing and postetch polymer removal of PMMA is described in this work. The filling temperature was optimized according to the molecular weight of the PMMA. To remove the polymer after plasma-etching in a purely thermal environment, a temperature of at least 430 °C had to be applied. Annealing assisted by variable frequency microwaves could remove the polymer already at 380 °C and with a He–H2 afterglow plasma the polymer could be removed at 280 °C. Laser annealing allowed the removal at a stage temperature of 200 °C with an only surface-limited heating of about 500 °C and higher ...


Journal of Physics D | 2016

Mitigation of plasma-induced damage in porous low-k dielectrics by cryogenic precursor condensation

Liping Zhang; Jean-Francois de Marneffe; Floriane Leroy; Philippe Lefaucheux; Thomas Tillocher; Remi Dussart; Kaoru Maekawa; Koichi Yatsuda; Christian Dussarrat; Andy Goodyear; Mike Cooke; Stefan De Gendt; Mikhail R. Baklanov

The present work describes the plasma etch properties of porous organo-silicate materials at cryogenic temperature. The mechanism of plasma damage is studied by means of in situ ellipsometry and post-etch material evaluation. Using conventional volatile reactants such as SF6, it is found that low plasma damage can be achieved below -120 degrees C through two main channels: pore sidewall passivation by molecular SF6 and partial condensation of non-volatile etch by-products. The protection can be enhanced by means of gas phase precursors with low saturated vapor pressure. Using C4F8, complete pore filling is achieved at -110 degrees C and negligible plasma-induced damage is demonstrated on both blanket and patterned low-k films. The characteristics of the precursor condensation process are described and discussed in detail, establishing an optimal process window. It is shown that the condensation temperature can be raised by using precursors with even lower vapor pressure. The reported in situ densification through precursor condensation could enable damage-free plasma processing of mesoporous media.


Plasma Sources Science and Technology | 2012

A DC-pulsed capacitively-coupled planar Langmuir probe for plasma process diagnostics and monitoring

Vladimir Samara; Jean-Paul Booth; Jean-Francois de Marneffe; Alexey Milenin; Mohand Brouri; Werner Boullart

An improvement to the RF-biased planar Langmuir probe technique proposed by Braithwaite et al (1996 Plasma Sources Sci. Technol. 5 67) is demonstrated, and applied to the case of an industrial CCP reactor. Compared with the RF-biased probe, the new technique uses dc pulses instead of RF bursts, which provides similar results but with simpler electronics. The ion fluxes determined by both techniques are compared under the same O2/Ar plasma conditions using available literature data for the RF-biased case. The data show not only the same trends but very close absolute values of ion fluxes for all studied plasma conditions after correcting for the chamber-area difference. Furthermore, the new technique has the additional benefit of providing information on the ‘electron transition region’ of the I–V curve, as well as allowing the resistance and capacitance of films deposited on the probe to be determined. Finally, both experimental data and numerical simulations of the I–V characteristics and the film parameters are presented for different oxidizing plasmas.


Journal of Physics D | 2015

Cryogenic etching processes applied to porous low-k materials using SF6/C4F8 plasmas

Floriane Leroy; Liping Zhang; Thomas Tillocher; Koichi Yatsuda; Kaoru Maekawa; Eichi Nishimura; Philippe Lefaucheux; Jean-Francois de Marneffe; Mikhail R. Baklanov; Remi Dussart

Cryogenic etching processes in SF6 and SF6/C4F8 plasmas were successfully applied to porous organosilicate glasses. Such materials are low-k candidates for advanced interconnects. Their integration is very challenging because of plasma induced damage. These two chemistries (SF6 and SF6/C4F8) have demonstrated a promising capability of significantly reducing the damage caused by plasma etching. Desorbed species were analyzed during the wafer warm-up from cryogenic to room temperature by in situ mass spectrometry. An equivalent damage layer (EDL) was evaluated by ex situ Fourier transform infrared (FTIR) spectroscopy and in situ ellipsometry. An anneal step at 350 °C seems efficient to completely desorb the remaining CF x species. Anisotropic profiles were obtained using both chemistries. The selectivity is enhanced using SF6/C4F8 process at low temperature.


Japanese Journal of Applied Physics | 2012

Study of SF6/N2O Microwave Plasma for Surface Texturing of Multicrystalline (<150 µm) Solar Substrates

Boon Teik Chan; Eddy Kunnen; Matthias Uhlig; Jean-Francois de Marneffe; Kaidong Xu; Werner Boullart; Bernd Rau; Jef Poortmans

Surface texturing is an imperative process to reduce the reflection of the incident light on solar cells, by enhancing sunlight diffusion into the silicon solar cells for photon generation. As a result, the current generation can be increased. In this study, the plasma texturing process with linear microwave plasma sources has been benchmarked with the industrial acidic iso-texturing process on 156×156 mm2 multicrystalline substrates. By optimizing the plasma texturing parameters, the absolute solar cells efficiency can be increased by 4.9% for 150 µm thickness silicon substrate. The proposed process offers a significant advantage over the standard acidic iso-texturing without major modification in the existing industrial solar cells manufacturing sequence. In order to explain plasma-induced surface morphology changes, the Kardar–Parisi–Zhang (KPZ), Petri–Brault, and Jason–Drotar models are used.


Nanotechnology | 2017

Two-dimensional WS2 nanoribbon deposition by conversion of pre-patterned amorphous silicon

Markus Heyne; Jean-Francois de Marneffe; Annelies Delabie; Matty Caymax; Erik C. Neyts; Iuliana Radu; Cedric Huyghebaert; Stefan De Gendt

We present a method for area selective deposition of 2D WS2 nanoribbons with tunable thickness on a dielectric substrate. The process is based on a complete conversion of a pre-patterned, H-terminated Si layer to metallic W by WF6, followed by in situ sulfidation by H2S. The reaction process, performed at 450 °C, yields nanoribbons with lateral dimension down to 20 nm and with random basal plane orientation. The thickness of the nanoribbons is accurately controlled by the thickness of the pre-deposited Si layer. Upon rapid thermal annealing at 900 °C under inert gas, the WS2 basal planes align parallel to the substrate.


Journal of Micro-nanolithography Mems and Moems | 2009

30-nm half-pitch metal patterning using Motif™ critical dimension shrink technique and double patterning

J. Versluijs; Jean-Francois de Marneffe; Danny Goossens; T. Vandeweyer; Vincent Wiaux; Herbert Struyf; Mireille Maenhoudt; Mohand Brouri; Johan Vertommen; Jisoo Kim; Helen Zhu; Reza Sadjadi

Double-patterning lithography appears a likely candidate to bridge the gap between water-based immersion lithography and EUV. A double-patterning process is discussed for 30-nm half-pitch interconnect structures, using 1.2 numerical aperture immersion lithography combined with the MotifTM critical dimension (CD) shrink technique. An adjusted optical proximity correction (OPC) calculation is required to model the proximity effects of the Motif shrink technique and subsequent metal hard mask (MHM) etch, on top of the lithography-based proximity effects. The litho-etch-litho-etch approach is selected to pattern a TiN metal hard mask. This mask is then used to etch the low-k dielectric. The various process steps and challenges encountered are discussed, with the feasibility of this approach demonstrated by successfully transferring a 30-nm half-pitch pattern into the MHM.


Meeting Abstracts | 2008

In-situ Spatial Analysis of RF Voltage during Plasma Etching.

Alexey Milenin; Jean-Francois de Marneffe; Herbert Struyf; Werner Boullart

Determination of plasma parameters during etching is an important task for new process development as well as for equipment characterization. Nowadays the requirements for reproducibility and process homogeneity are more stringent, and work with average equipment parameters like pressure, power, or gas flows cannot always guarantee the quality needed. Thus, in-situ information during the process with spatial resolution is of great importance for addressing local variations in plasma. As a result, in industry and science there is a growing demand for different types of sensor wafers with embedded wireless detectors.


international interconnect technology conference | 2013

Development and evaluation of a-SiC:H films using a dimethylsilacyclopentane precursor as a low-k Cu capping layer

Els Van Besien; Cong Wang; Patrick Verdonck; Arjun Singh; Yohan Barbarin; Jean-Francois de Marneffe; Kris Vanstreels; Hilde Tielens; Marc Schaekers; Mikhail R. Baklanov; Sven Van Elshocht

Scaling of the Cu interconnect structures requires Cu capping layers with an increasingly lower dielectric constant (K) that still have adequate Cu and moisture barrier properties. In this work, we study the plasma enhanced chemical vapour (PE-CVD) deposition of amorphous silicon carbide films using dimethyl silacyclopentane (DMSCP) as a precursor, resulting in the incorporation of Si-(CH2)n-Si bridges. The effect of process parameters on film characteristics like K, mass density (p), and leakage behaviour is investigated, as well as their relation with the chemical bonding structure. Finally, Cu barrier properties and hermeticity are evaluated.

Collaboration


Dive into the Jean-Francois de Marneffe's collaboration.

Top Co-Authors

Avatar

Liping Zhang

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Mikhail R. Baklanov

North China University of Technology

View shared research outputs
Top Co-Authors

Avatar

Stefan De Gendt

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Werner Boullart

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Markus Heyne

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Remi Dussart

Centre national de la recherche scientifique

View shared research outputs
Researchain Logo
Decentralizing Knowledge