Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jerome Belledent is active.

Publication


Featured researches published by Jerome Belledent.


Design and process integration for microelectronic manufacturing. Conference | 2006

Experimental verification of improved printability for litho-driven designs

Johannes van Wingerden; Laurent Le Cam; Rene Wientjes; Michael Benndorf; Yorick Trouiller; Jerome Belledent; Rob Morton; Yuri Aksenov

The continued downscaling of the feature sizes and pitches for each new process generation increases the challenges for obtaining sufficient process control. As the dimensions approach the limits of the lithographic capabilities, new solutions for improving the printability are required. Including the design into the optimization process significantly improves the printability. The use of litho-driven designs becomes increasingly important towards the 45 nm node. The litho-driven design is applied to the active, gate, contact and metal layers. It has been shown previously, that the impact on the chip area is negligible. Simulations have indicated a significant improvement in controlling the critical dimensions of the gate layer. In this paper, we present our first results of an experimental validation of litho-driven designs printed on an immersion scanner. In our design we use a fixed pitch approach that allows to match the illumination conditions to those for the memory structures. The impact on the chip area and on the CD control will be discussed. The resulting improvement in CD control is demonstrated experimentally by comparing the experimental results of litho-driven and standard designs. A comparison with simulations will be presented.


Japanese Journal of Applied Physics | 2014

Contact hole shrink by directed self-assembly: Process integration and stability monitored on 300 mm pilot line

Isabelle Servin; Raluca Tiron; Ahmed Gharbi; Maxime Argoud; Karine Jullian; G. Chamiot-Maitral; Patricia Pimenta Barros; Xavier Chevalier; Jerome Belledent; Xavier Bossy; Sylvain Moulis; Christophe Navarro; G. Cunge; Sebastien Barnola; Masaya Asai; Charles Pieczulewski

The semiconductor devices dimensions continue to shrink to keep up with the ITRS roadmap. Due to delay and extensive cost of EUV for 14 nm technology node and beyond, the directed self assembly (DSA) process has great potential for extending optical lithography, and enables to reduce the critical dimension (CD) and pitch of the final feature. After the recent implementation of DSA processes in 300 mm clean room environment, it is now time to move to the forward maturity step and demonstrate process stability through time. This study investigates the potential of DSA for contact hole shrink patterning using poly(styrene-block-methyl methacrylate) (PS-b-PMMA) di-block copolymers to target contact holes CD down to 15 nm. Based on the 300 mm pilot line available at LETI, the DSA manufacturability is considered through different criteria to achieve high resolution and pattern density multiplication, at a low cost in fully 300 mm wafers production line. The DSA process flow performance based on grapho-epitaxy approach is controlled after each step to follow the thicknesses of random and BCP materials supplied by ARKEMA. Moreover, the natural period of block copolymers and CD uniformity on free surface are also measured and defectivity is evaluated after etch transfer by image treatment. The thermal budget of DSA of both random and block copolymers have been evaluated to define optimum conditions. The paper has shown that UV exposure prior to PMMA wet development improves PMMA degradation to enable complete removal by wet development in acetic acid. DSA process for contact hole shrink patterning has shown final contact holes with an average CD of 21 nm and intra-wafer CD uniformity of 1.1 nm with an open yield of more than 99.9%.


Optical Microlithography XVIII | 2005

High accuracy 65nm OPC verification: full process window model vs. critical failure ORC

Amandine Borjon; Jerome Belledent; Shumay D. Shang; Olivier Toublan; Corinne Miramond; Kyle Patterson; Kevin Lucas; Christophe Couderc; Yves Rody; Frank Sundermann; Jean-Christophe Urbani; Stanislas Baron; Yorick Trouiller; Patrick Schiavone

It is becoming more and more difficult to ensure robust patterning after OPC due to the continuous reduction of layout dimensions and diminishing process windows associated with each successive lithographic generation. Lithographers must guarantee high imaging fidelity throughout the entire range of normal process variations. The techniques of Mask Rule Checking (MRC) and Optical Rule Checking (ORC) have become mandatory tools for ensuring that OPC delivers robust patterning. However the first method relies on geometrical checks and the second one is based on a model built at best process conditions. Thus those techniques do not have the ability to address all potential printing errors throughout the process window (PW). To address this issue, a technique known as Critical Failure ORC (CFORC) was introduced that uses optical parameters from aerial image simulations. In CFORC, a numerical model is used to correlate these optical parameters with experimental data taken throughout the process window to predict printing errors. This method has proven its efficiency for detecting potential printing issues through the entire process window [1]. However this analytical method is based on optical parameters extracted via an optical model built at single process conditions. It is reasonable to expect that a verification method involving optical models built from several points throughout PW would provide more accurate predictions of printing errors for complex features. To verify this approach, compact optical models similar to those used for standard OPC were built and calibrated with experimental data measured at the PW limits. This model is then applied to various test patterns to predict potential printing errors. In this paper, a comparison between these two approaches is presented for the poly layer at 65 nm node patterning. Examples of specific failure predictions obtained separately with the two techniques are compared with experimental results. The details of implementing these two techniques on full product layouts are also included in this study.


Design and process integration for microelectronic manufacturing. Conference | 2005

Investigation of model-based physical design restrictions (Invited Paper)

Kevin Lucas; Stanislas Baron; Jerome Belledent; Robert Boone; Amandine Borjon; Christophe Couderc; Kyle Patterson; Lionel Riviere-Cazaux; Yves Rody; Frank Sundermann; Olivier Toublan; Yorick Trouiller; Jean-Christophe Urbani; Karl Wimmer

As lithography and other patterning processes become more complex and more non-linear with each generation, the task of physical design rules necessarily increases in complexity also. The goal of the physical design rules is to define the boundary between the physical layout structures which will yield well from those which will not. This is essentially a rule-based pre-silicon guarantee of layout correctness. However the rapid increase in design rule requirement complexity has created logistical problems for both the design and process functions. Therefore, similar to the semiconductor industrys transition from rule-based to model-based optical proximity correction (OPC) due to increased patterning complexity, opportunities for improving physical design restrictions by implementing model-based physical design methods are evident. In this paper we analyze the possible need and applications for model-based physical design restrictions (MBPDR). We first analyze the traditional design rule evolution, development and usage methodologies for semiconductor manufacturers. Next we discuss examples of specific design rule challenges requiring new solution methods in the patterning regime of low K1 lithography and highly complex RET. We then evaluate possible working strategies for MBPDR in the process development and product design flows, including examples of recent model-based pre-silicon verification techniques. Finally we summarize with a proposed flow and key considerations for MBPDR implementation.


Journal of Micro-nanolithography Mems and Moems | 2014

Demonstration of electronic design automation flow for massively parallel e-beam lithography

Pieter Brandt; Jerome Belledent; Céline Tranquillin; Thiago Figueiro; Stéfanie Meunier; Sébastien Bayle; Aurélien Fay; Matthieu Milléquant; Béatrice Icard; Marco Wieland

Abstract. For proximity effect correction in 5 keV e-beam lithography, three elementary building blocks exist: dose modulation, geometry (size) modulation, and background dose addition. Combinations of these three methods are quantitatively compared in terms of throughput impact and process window (PW). In addition, overexposure in combination with negative bias results in PW enhancement at the cost of throughput. In proximity effect correction by over exposure (PEC-OE), the entire layout is set to fixed dose and geometry sizes are adjusted. In PEC-dose to size (DTS) both dose and geometry sizes are locally optimized. In PEC-background (BG), a background is added to correct the long-range part of the point spread function. In single e-beam tools (Gaussian or Shaped-beam), throughput heavily depends on the number of shots. In raster scan tools such as MAPPER Lithography’s FLX 1200 (MATRIX platform) this is not the case and instead of pattern density, the maximum local dose on the wafer is limiting throughput. The smallest considered half-pitch is 28 nm, which may be considered the 14-nm node for Metal-1 and the 10-nm node for the Via-1 layer, achieved in a single exposure with e-beam lithography. For typical 28-nm-hp Metal-1 layouts, it was shown that dose latitudes (size of process window) of around 10% are realizable with available PEC methods. For 28-nm-hp Via-1 layouts this is even higher at 14% and up. When the layouts do not reach the highest densities (up to 10∶1 in this study), PEC-BG and PEC-OE provide the capability to trade throughput for dose latitude. At the highest densities, PEC-DTS is required for proximity correction, as this method adjusts both geometry edges and doses and will reduce the dose at the densest areas. For 28-nm-hp lines critical dimension (CD), hole&dot (CD) and line ends (edge placement error), the data path errors are typically 0.9, 1.0 and 0.7 nm (3σ) and below, respectively. There is not a clear data path performance difference between the investigated PEC methods. After the simulations, the methods were successfully validated in exposures on a MAPPER pre-alpha tool. A 28-nm half pitch Metal-1 and Via-1 layouts show good performance in resist that coincide with the simulation result. Exposures of soft-edge stitched layouts show that beam-to-beam position errors up to ±7  nm specified for FLX 1200 show no noticeable impact on CD. The research leading to these results has been performed in the frame of the industrial collaborative consortium IMAGINE.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

3D Mask modeling with Oblique incidence and Mask Corner rounding effects for the 32nm node

Mazen Saied; Franck Foussadier; Jerome Belledent; Yorick Trouiller; Isabelle Schanen; Emek Yesilada; Christian Gardin; Jean Christophe Urbani; Frank Sundermann; F. Robert; Christophe Couderc; Florent Vautrin; Laurent LeCam; G. Kerrien; Jonathan Planchot; Catherine Martinelli; Bill Wilkinson; Yves Rody; Amandine Borjon; Nicolo Morgana; Jean-Luc Di-Maria; Vincent Farys

The perpetual shrinking in critical dimensions in semiconductor devices is driving the need for increased resolution in optical lithography. Increasing NA to gain resolution also increases Optical Proximity Correction (OPC) model complexity. Some optical effects which have been completely neglected in OPC modeling become important. Over the past few years, off-axis illumination has been widely used to improve the imaging process. OPC models which utilize such illumination still use the thin film mask approximation (Kirchhoff approach), during optical model generation, which utilizes a normal incidence. However, simulating a three dimensional mask near-field using an off-axis illumination requires OPC models to introduce oblique incidence. In addition, the use of higher NA systems introduces high obliquity field components that can no longer be assimilated as normal incident waves. The introduction of oblique incidence requires other effects, such as corner rounding of mask features, to be considered, that are seldom taken into account in OPC modeling. In this paper, the effects of oblique incidence and corner rounding of mask features on resist contours of 2D structures (i.e. line-ends and corners) are studied. Rigorous electromagnetic simulations are performed to investigate the scattering properties of various lithographic 32nm node mask structures. Simulations are conducted using a three dimensional phase shift mask topology and an off-axis illumination at high NA. Aerial images are calculated and compared with those obtained from a classical normal incidence illumination. The benefits of using an oblique incidence to improve hot-spot prediction will be discussed.


Optical Microlithography XVII | 2004

Critical failure ORC: application to the 90-nm and 65-nm nodes

Jerome Belledent; Shumay Dou Shang; Yorick Trouiller; Corinne Miramond; Kyle Patterson; Olivier Toublan; Christophe Couderc; Frank Sundermann; Yves Rody

In this paper, we present a new technique (Critical Failure ORC or CF-ORC) to check the robustness of the structures created by OPC through the process window. The full methodology is explained and tested on a full chip at the 90- nm node. Improvements compared to standard ORC/MRC techniques will be presented on complex geometries. Finally, examples of concrete failure predictions are given and compared to experimental results.


30th European Mask and Lithography Conference | 2014

Compact model experimental validation for grapho-epitaxy hole processes and its impact in mask making tolerances

Germain Fenger; J. Andres Torres; Yuansheng Ma; Yuri Granik; Polina Krasnova; Antoine Fouquet; Jerome Belledent; Ahmed Gharbi; Raluca Tiron

There has been significant research in the area of modeling self-assembling molecular systems. Directed self-assembly (DSA) has proven to be a promising candidate for cost reduction of processes which use double patterning and an enabler of new technology nodes. Self-consistent field theory and Monte Carlo simulators have the capability to probe and explore the mechanisms driving the different phases of a diblock copolymer system. While such methods are appropriate to study the nature of the self-assembly process, they are computationally expensive and they cannot be used to perform mask synthesis operations nor full chip verification. In this case we focus our effort in establishing the minimum set of conditions that a compact model for the manufacture of contact holes using a grapho epitaxy process for a PS-b-PMMA diblock copolymer system needs. The compact model’s main objectives are to find the guiding pattern that produces the lowest possible placement error, as well as verifying that the intended target structures are present after processing. Given that masks are not perfect, and lithographic process variations are not negligible, it is necessary to understand the mask requirements and the types of Optical Proximity Correction techniques that will be used to build guiding patterns. This paper explores the guiding pattern conditions under which proper assembly is achieved, and how the compact model formulation is able to determine placement of reliably assembling structures as well as identification of the guiding patterns which lead to improper assembly. The research leading to these results has been performed in the frame of the industrial collaborative consortium IDeAL focused on the development of Directed Self-assembly technique by block copolymers.


24th Annual BACUS Symposium on Photomask Technology | 2004

Full-chip-model-based correction of flare-induced linewidth variation

James Word; Jerome Belledent; Yorick Trouiller; Wilhelm Maurer; Yuri Granik; Emile Sahouria; Olivier Toublan

Scattered light in optical lithography, also known as flare, has been shown to cause potentially significant linewidth variation at low-k1 values. The interaction radius of this effect can extend essentially from zero to the full range of a product die and beyond. Because of this large interaction radius the correction of the effect can be very computation-intensive. In this paper, we will present the results of our work to characterize the flare effect for 65nm and 90nm poly processes, model that flare effect as a summation of gaussian convolution kernels, and correct it within a hierarchical model based OPC engine. Novel methods for model based correction of the flare effect, which preserve much of the design hierarchy, is discussed. The same technique has demonstrated the ability to correct for long-range loading effects encountered during the manufacture of reticles.


Proceedings of SPIE | 2007

Three-dimensional mask effects and source polarization impact on OPC model accuracy and process window

Mazen Saied; F. Foussadier; Jerome Belledent; Yorick Trouiller; Isabelle Schanen; Christian Gardin; Jean-Christophe Urbani; Patrick Montgomery; Frank Sundermann; F. Robert; Christophe Couderc; Florent Vautrin; G. Kerrien; Jonathan Planchot; Emek Yesilada; Catherine Martinelli; Bill Wilkinson; Amandine Borjon; Laurent LeCam; Jean-Luc Di-Maria; Yves Rody; N. Morgana; Vincent Farys

As semiconductor technology moves toward and beyond the 65 nm lithography node, the importance of Optical Proximity Correction (OPC) models grows due to the lithographers need to ensure high fidelity in the mask- to-silicon transfer. This, in turn, causes OPC model complexity to increase as NA increases and minimum feature size on the mask decreases. Subtle effects, that were considered insignificant, can no longer be ignored. Depending on the imaging system, three dimensional mask effects need to be included in OPC modeling. These effects can be used to improve model accuracy and to better predict the final process window. In this paper, the effects of 3D mask topology on process window are studied using several 45 nm node mask structure types. Simulations are conducted with and without a polarized illumination source. The benefits of using an advanced model algorithm, that comprehends 3D mask effects, will be discussed. To quantify the potential impact of this methodology, relative to current best known practices, all results are compared to those obtained from a model using a conventional thin film mask.

Collaboration


Dive into the Jerome Belledent's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Kevin Lucas

Freescale Semiconductor

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge