Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Yorick Trouiller is active.

Publication


Featured researches published by Yorick Trouiller.


Design and process integration for microelectronic manufacturing. Conference | 2006

Experimental verification of improved printability for litho-driven designs

Johannes van Wingerden; Laurent Le Cam; Rene Wientjes; Michael Benndorf; Yorick Trouiller; Jerome Belledent; Rob Morton; Yuri Aksenov

The continued downscaling of the feature sizes and pitches for each new process generation increases the challenges for obtaining sufficient process control. As the dimensions approach the limits of the lithographic capabilities, new solutions for improving the printability are required. Including the design into the optimization process significantly improves the printability. The use of litho-driven designs becomes increasingly important towards the 45 nm node. The litho-driven design is applied to the active, gate, contact and metal layers. It has been shown previously, that the impact on the chip area is negligible. Simulations have indicated a significant improvement in controlling the critical dimensions of the gate layer. In this paper, we present our first results of an experimental validation of litho-driven designs printed on an immersion scanner. In our design we use a fixed pitch approach that allows to match the illumination conditions to those for the memory structures. The impact on the chip area and on the CD control will be discussed. The resulting improvement in CD control is demonstrated experimentally by comparing the experimental results of litho-driven and standard designs. A comparison with simulations will be presented.


Design and process integration for microelectronic manufacturing. Conference | 2005

Improving model-based OPC performance for the 65-nm node through calibration set optimization

Kyle Patterson; Yorick Trouiller; Kevin Lucas; Jerorne Belledent; Amandine Borjon; Yves Rody; Christophe Couderc; Frank Sundermann; Jean-Christophe Urbani; Stanislas Baron

As lithography continues to increase in difficulty with low k1 factors, and ever-tighter process margins, model-based optical proximity correction (OPC) is being used for the majority of patterning layers. As a result, the engineering effort consumed by the development and calibration of OPC models is continuing to increase at an alarming rate. One of the major focal points of this effort is the increasing emphasis on improving the accuracy of the model-based OPC corrections. One of the major contributors to final OPC accuracy is the quality of the resist model. As a result of these trends, the number of sample points used to calibrate OPC models is increasing rapidly from generation to generation. However, this increase is largely due to an antiquated approach to the construction of these calibration sets, focusing on structure variations. In this study, a new approach to the calibration of a resist model will be proposed based upon the location of calibration structures within the actual resist space over which the resist model is expected to be predictive.


Optical Microlithography XVIII | 2005

High accuracy 65nm OPC verification: full process window model vs. critical failure ORC

Amandine Borjon; Jerome Belledent; Shumay D. Shang; Olivier Toublan; Corinne Miramond; Kyle Patterson; Kevin Lucas; Christophe Couderc; Yves Rody; Frank Sundermann; Jean-Christophe Urbani; Stanislas Baron; Yorick Trouiller; Patrick Schiavone

It is becoming more and more difficult to ensure robust patterning after OPC due to the continuous reduction of layout dimensions and diminishing process windows associated with each successive lithographic generation. Lithographers must guarantee high imaging fidelity throughout the entire range of normal process variations. The techniques of Mask Rule Checking (MRC) and Optical Rule Checking (ORC) have become mandatory tools for ensuring that OPC delivers robust patterning. However the first method relies on geometrical checks and the second one is based on a model built at best process conditions. Thus those techniques do not have the ability to address all potential printing errors throughout the process window (PW). To address this issue, a technique known as Critical Failure ORC (CFORC) was introduced that uses optical parameters from aerial image simulations. In CFORC, a numerical model is used to correlate these optical parameters with experimental data taken throughout the process window to predict printing errors. This method has proven its efficiency for detecting potential printing issues through the entire process window [1]. However this analytical method is based on optical parameters extracted via an optical model built at single process conditions. It is reasonable to expect that a verification method involving optical models built from several points throughout PW would provide more accurate predictions of printing errors for complex features. To verify this approach, compact optical models similar to those used for standard OPC were built and calibrated with experimental data measured at the PW limits. This model is then applied to various test patterns to predict potential printing errors. In this paper, a comparison between these two approaches is presented for the poly layer at 65 nm node patterning. Examples of specific failure predictions obtained separately with the two techniques are compared with experimental results. The details of implementing these two techniques on full product layouts are also included in this study.


Design and process integration for microelectronic manufacturing. Conference | 2005

Investigation of model-based physical design restrictions (Invited Paper)

Kevin Lucas; Stanislas Baron; Jerome Belledent; Robert Boone; Amandine Borjon; Christophe Couderc; Kyle Patterson; Lionel Riviere-Cazaux; Yves Rody; Frank Sundermann; Olivier Toublan; Yorick Trouiller; Jean-Christophe Urbani; Karl Wimmer

As lithography and other patterning processes become more complex and more non-linear with each generation, the task of physical design rules necessarily increases in complexity also. The goal of the physical design rules is to define the boundary between the physical layout structures which will yield well from those which will not. This is essentially a rule-based pre-silicon guarantee of layout correctness. However the rapid increase in design rule requirement complexity has created logistical problems for both the design and process functions. Therefore, similar to the semiconductor industrys transition from rule-based to model-based optical proximity correction (OPC) due to increased patterning complexity, opportunities for improving physical design restrictions by implementing model-based physical design methods are evident. In this paper we analyze the possible need and applications for model-based physical design restrictions (MBPDR). We first analyze the traditional design rule evolution, development and usage methodologies for semiconductor manufacturers. Next we discuss examples of specific design rule challenges requiring new solution methods in the patterning regime of low K1 lithography and highly complex RET. We then evaluate possible working strategies for MBPDR in the process development and product design flows, including examples of recent model-based pre-silicon verification techniques. Finally we summarize with a proposed flow and key considerations for MBPDR implementation.


Microelectronic Engineering | 2002

Combination multiple focal planes and PSM for sub 120 nm node with KrF lithography: study of the proximity effects

Serdar Manakli; Yorick Trouiller; Patrick Schiavone; P. Spinelli; O. Le-Borgne; J.-P. Chollet; Yves Rody; Pierre-Jerome Goirand

In optical lithography, the use of multiple focal planes with different energy and focus improves the photolithography performances like the Depth of Focus (DOF) and the Energy Latitude (EL). We have chosen to use a symmetrical double exposure (symmetrical in focus) with equal energy, an attenuated (6%) phase shift mask and the standard KrF photolithography process in the study of 180 nm holes. The ASM 5500 / 700 and / 900 steppers make this double exposure possible. The study of the process window versus the distance between the two focal planes (DF ) shows that the multiple focal planes technique generates proximity effects namely increases the difference between dense holes Critical Dimension (CD) and isolated one for the same parameters (energy, focus and DF ). We study the evolution of these proximity effects for KrF lithography and propose solutions to minimise them.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

3D Mask modeling with Oblique incidence and Mask Corner rounding effects for the 32nm node

Mazen Saied; Franck Foussadier; Jerome Belledent; Yorick Trouiller; Isabelle Schanen; Emek Yesilada; Christian Gardin; Jean Christophe Urbani; Frank Sundermann; F. Robert; Christophe Couderc; Florent Vautrin; Laurent LeCam; G. Kerrien; Jonathan Planchot; Catherine Martinelli; Bill Wilkinson; Yves Rody; Amandine Borjon; Nicolo Morgana; Jean-Luc Di-Maria; Vincent Farys

The perpetual shrinking in critical dimensions in semiconductor devices is driving the need for increased resolution in optical lithography. Increasing NA to gain resolution also increases Optical Proximity Correction (OPC) model complexity. Some optical effects which have been completely neglected in OPC modeling become important. Over the past few years, off-axis illumination has been widely used to improve the imaging process. OPC models which utilize such illumination still use the thin film mask approximation (Kirchhoff approach), during optical model generation, which utilizes a normal incidence. However, simulating a three dimensional mask near-field using an off-axis illumination requires OPC models to introduce oblique incidence. In addition, the use of higher NA systems introduces high obliquity field components that can no longer be assimilated as normal incident waves. The introduction of oblique incidence requires other effects, such as corner rounding of mask features, to be considered, that are seldom taken into account in OPC modeling. In this paper, the effects of oblique incidence and corner rounding of mask features on resist contours of 2D structures (i.e. line-ends and corners) are studied. Rigorous electromagnetic simulations are performed to investigate the scattering properties of various lithographic 32nm node mask structures. Simulations are conducted using a three dimensional phase shift mask topology and an off-axis illumination at high NA. Aerial images are calculated and compared with those obtained from a classical normal incidence illumination. The benefits of using an oblique incidence to improve hot-spot prediction will be discussed.


Optical Microlithography XVII | 2004

Critical failure ORC: application to the 90-nm and 65-nm nodes

Jerome Belledent; Shumay Dou Shang; Yorick Trouiller; Corinne Miramond; Kyle Patterson; Olivier Toublan; Christophe Couderc; Frank Sundermann; Yves Rody

In this paper, we present a new technique (Critical Failure ORC or CF-ORC) to check the robustness of the structures created by OPC through the process window. The full methodology is explained and tested on a full chip at the 90- nm node. Improvements compared to standard ORC/MRC techniques will be presented on complex geometries. Finally, examples of concrete failure predictions are given and compared to experimental results.


SPIE's 27th Annual International Symposium on Microlithography | 2002

ArF imaging with off-axis illumination and subresolution assist bars: a compromise between mask constraints and lithographic process constraints

Yorick Trouiller; J. Serrand; Corinne Miramond; Yves Fabien Rody; Serdar Manakli; Pierre-Jerome Goirand

The insertion point for the first scattering bar is a key point in the development of a process using assist features, because this semi dense feature will determine the overall depth of focus of the process. A study of the parameters, which influence the choice of this insertion point, has been performed using a 0.63 NA 193 nm scanner for a 100 nm CD target after litho. The impact of the scattering bar on: Depth of Focus, Energy Latitude, Mask Error Enhancement Factor, printability, and the effect of scattering bar line width variation on main feature described by a parameter called AFMEEF will be discussed in this paper. The optimal insertion point for the first scattering bar will strongly depend on the litho-graphic process and the mask parameters. A model is proposed to determine the optimal insertion point, as function of the dose, focus budget, minimal allowed scatterbar width, and mask CD dispersion for both scattering bars and main features.


24th Annual BACUS Symposium on Photomask Technology | 2004

Full-chip-model-based correction of flare-induced linewidth variation

James Word; Jerome Belledent; Yorick Trouiller; Wilhelm Maurer; Yuri Granik; Emile Sahouria; Olivier Toublan

Scattered light in optical lithography, also known as flare, has been shown to cause potentially significant linewidth variation at low-k1 values. The interaction radius of this effect can extend essentially from zero to the full range of a product die and beyond. Because of this large interaction radius the correction of the effect can be very computation-intensive. In this paper, we will present the results of our work to characterize the flare effect for 65nm and 90nm poly processes, model that flare effect as a summation of gaussian convolution kernels, and correct it within a hierarchical model based OPC engine. Novel methods for model based correction of the flare effect, which preserve much of the design hierarchy, is discussed. The same technique has demonstrated the ability to correct for long-range loading effects encountered during the manufacture of reticles.


Proceedings of SPIE | 2007

Three-dimensional mask effects and source polarization impact on OPC model accuracy and process window

Mazen Saied; F. Foussadier; Jerome Belledent; Yorick Trouiller; Isabelle Schanen; Christian Gardin; Jean-Christophe Urbani; Patrick Montgomery; Frank Sundermann; F. Robert; Christophe Couderc; Florent Vautrin; G. Kerrien; Jonathan Planchot; Emek Yesilada; Catherine Martinelli; Bill Wilkinson; Amandine Borjon; Laurent LeCam; Jean-Luc Di-Maria; Yves Rody; N. Morgana; Vincent Farys

As semiconductor technology moves toward and beyond the 65 nm lithography node, the importance of Optical Proximity Correction (OPC) models grows due to the lithographers need to ensure high fidelity in the mask- to-silicon transfer. This, in turn, causes OPC model complexity to increase as NA increases and minimum feature size on the mask decreases. Subtle effects, that were considered insignificant, can no longer be ignored. Depending on the imaging system, three dimensional mask effects need to be included in OPC modeling. These effects can be used to improve model accuracy and to better predict the final process window. In this paper, the effects of 3D mask topology on process window are studied using several 45 nm node mask structure types. Simulations are conducted with and without a polarized illumination source. The benefits of using an advanced model algorithm, that comprehends 3D mask effects, will be discussed. To quantify the potential impact of this methodology, relative to current best known practices, all results are compared to those obtained from a model using a conventional thin film mask.

Collaboration


Dive into the Yorick Trouiller's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge