Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jing Sha is active.

Publication


Featured researches published by Jing Sha.


Journal of Materials Chemistry | 2010

High refractive index and high transparency HfO2 nanocomposites for next generation lithography

Woo Jin Bae; Markos Trikeriotis; Jing Sha; Evan L. Schwartz; Robert Rodriguez; Paul Zimmerman; Emmanuel P. Giannelis; Christopher K. Ober

HfO2 nanoparticles stabilized with selected ligands possess high refractive index and low absorbance under 193 nm radiation. These materials combined with an appropriate photopolymer were used as a nanocomposite photoresist. The resulting nanocomposite materials were used successfully for high resolution patterning.


Journal of Materials Chemistry | 2009

Non-ionic photo-acid generators for applications in two-photon lithography

Lorenz Steidl; Shalin J. Jhaveri; Ramakrishnan Ayothi; Jing Sha; Jesse D. McMullen; Sin Yee Cindy Ng; Warren R. Zipfel; Rudolf Zentel; Christopher K. Ober

Non-ionic photoacid generators (PAGs) have been designed and synthesized for use in two-photon lithography (TPL). The chromophores in these new PAGs are covalently linked to the photocleavable group by a flexible joint. Their thermal stability, solubility and efficiency to produce acid under both one- and two-photon excitation were characterized. The potential of these PAGs for TPL was tested in two negative-tone resist systems relying on different mechanisms: free-radical/cationic polymerization or a cationically initiated cross-linking reaction. These PAGs needed lower threshold power for polymerization compared to a commercially available photoinitiator, isopropylthioxanthone, and a photoacid generator, N-hydroxynaphthalimide triflate. Microstructures with a resolution of 0.6 µm were fabricated and the threshold power for polymerization was found to be below 2 mW.


ACS Nano | 2012

Kinetic rates of thermal transformations and diffusion in polymer systems measured during sub-millisecond laser-induced heating.

Byungki Jung; Jing Sha; Florencia Paredes; Manish Chandhok; Todd R. Younkin; Ulrich Wiesner; Christopher K. Ober; Michael O. Thompson

Probing chemical reaction kinetics in the near-solid state (small molecules and polymers) is extremely challenging because of the restricted mobility of reactant species, the absence of suitable analytical probes, and most critically the limited temperature stability of the materials. By limiting temperature exposure to extremely short time frames (sub-millisecond), temperatures in excess of 800 °C can be accessed extending kinetic rate measurements many orders of magnitude. Here we demonstrate measurements on a model system, exploiting the advantages of thin-films, laser heating, and chemically amplified resists as an exquisite probe of chemical kinetic rates. Chemical reaction and acid diffusion rates were measured over 10 orders of magnitude, exposing unexpected and large changes in dynamics linked to critical mechanism shifts across temperature regimes. This new approach to the study of kinetics in near-solid state materials promises to substantially improve our understanding of processes active in a broad range of temperature-sensitive, low-mobility materials.


Journal of Materials Chemistry | 2009

Acid-diffusion behaviour in organic thin films and its effect on patterning

Jin-Kyun Lee; M. Chatzichristidi; Alexander A. Zakhidov; Ha Soo Hwang; Evan L. Schwartz; Jing Sha; Priscilla G. Taylor; Hon Hang Fong; John A. DeFranco; Eisuke Murotani; Wallace W. H. Wong; George G. Malliaras; Christopher K. Ober

Acid and its formation and placement is one of the most important aspects in the chemically amplified photolithographic process. The choice of photoacid generator (PAG) in the photolithographic patterning of acidic substrates, such as PEDOT:PSS, has consequences for the resolution and overall quality of the patterned image. In this study, an acid exchange and diffusion mechanism is proposed for the undesired decomposition of the unexposed photoresist layer containing ionic PAGs. The use of non-ionic PAGs has been shown to be a solution to this decomposition problem. In addition, the acidic nature of the PEDOT:PSS substrate is employed to produce patterned images of a cross-linkable light-emitting polymer. With further optimization and development, this is potentially a fast and simple method to introduce patterns in various organic electronic devices.


Proceedings of SPIE | 2010

Sub-millisecond post exposure bake of chemically amplified resists by CO2 laser heat treatment

Byungki Jung; Jing Sha; Florencia Paredes; Christopher K. Ober; Michael O. Thompson; Manish Chandhok; Todd R. Younkin

Chemically amplified photoresists require a post exposure bake (PEB), typically on a hot plate at 90-150°C for 30-120 seconds, to catalytically deprotect the polymer backbone. During PEB, excessive diffusion of the photo-generated acid results in loss of line edge definition, blurring of latent images and changes in the line edge roughness. Both acid diffusion and deprotection are thermally activated processes, with the relative rates affected by the time/temperature profile of the PEB. In this work, we introduce an alternate PEB method involving 500 μs time scale heating over a temperature range of 130°C to 450°C using a continuous wave CO2 laser. A methodology is developed for characterizing this laser PEB and comparing the behavior with conventional hot plate PEB. The thermal stability of several polymer and photoacid generator (PAG) resist systems were studied and shown to be stable at these high temperatures due to the short heating duration. Sensitivity of resists under hot plate and laser PEB were measured. Under moderate temperatures, the laser PEB sensitivity can exceed that of hot plate PEB by an order of magnitude. Quantitative determination of the acid diffusion was obtained using resist bilayers (PAG loaded / PAG free). Despite the five orders of magnitude difference in PEB time, systems with l-PEB and hot-plate PEB exhibit comparable imaging quality under deep ultraviolet exposure.


Langmuir | 2012

Neutron reflectivity characterization of the photoacid reaction-diffusion latent and developed images of molecular resists for extreme ultraviolet lithography.

Vivek M. Prabhu; Shuhui Kang; Jing Sha; Peter V. Bonnesen; Sushil K. Satija; Wen-Li Wu; Christopher K. Ober

Lithographic feature size requirements have approached a few radius of gyration of photoresist polymers used in thin-film patterning. Furthermore, the feature dimensions are commensurate with the photoacid diffusion length that defines the underlying latent image. Smaller imaging building blocks may enable reduced feature sizes; however, resolution limits are also dependent upon the spatial extent of the photoacid-catalyzed reaction diffusion front and subsequent dissolution mechanism. The reaction-diffusion front was characterized by neutron reflectivity for ccc stereoisomer-purified, deuterium-labeled tert-butoxycarbonyloxy calix[4]resorcinarene molecular resists. The spatial extent of the reaction front exceeds the size of the molecular resist with an effective diffusion constant of (0.13 ± 0.06) nm(2)/s for reaction times longer than 60 s, with the maximum at shorter times. Comparison to a mean-field reaction-diffusion model shows that a photoacid trapping process provides bounds to the spatial and extent of reaction via a reaction-limited mechanism whereas the ratio of the reaction rate to trapping rate constants recovers the effective diffusion peak. Under the ideal step-exposure conditions, surface roughness was observed after either positive- or negative-tone development. However, negative-tone development follows a surface-restructuring mechanism rather than etch-like dissolution in positive-tone development.


Journal of Vacuum Science & Technology B | 2009

Submillisecond post-exposure bake of chemically amplified resists by CO2 laser spike annealing

Jing Sha; Byungki Jung; Michael O. Thompson; Christopher K. Ober; Manish Chandhok; Todd R. Younkin

Pattern formation in a chemically amplified photoresist requires a post-exposure bake (PEB) to catalytically deprotect the polymer. Excessive diffusion of the photogenerated acid results in the loss of line edge definition, blurring of latent images, and changes in the line edge roughness. To optimize the process, the authors have explored submillisecond PEB using a CO2 laser-based scanned annealing system [M. Chandhok (private communication)]. Several polymer and photoacid generator resist systems were studied under 800μs laser spike annealing at estimated temperatures between 200 and 400°C. All the resist systems exhibit remarkable stability in this time/temperature regime, with the maximum useful temperature limited by thermal deprotection and/or decomposition of the polymer backbone. At lower temperatures, high resolution patterns with sub-100-nm features are formed, comparable to hotplate reference samples. Resist sensitivity is improved significantly for several resist systems (dose to clear is lowe...


Australian Journal of Chemistry | 2011

Characterization of the Non-uniform Reaction in Chemically Amplified Calix[4]resorcinarene Molecular Resist Thin Films

Vivek M. Prabhu; Shuhui Kang; R. Joseph Kline; Dean M. DeLongchamp; Daniel A. Fischer; Wen-Li Wu; Sushil K. Satija; Peter V. Bonnesen; Jing Sha; Christopher K. Ober

The ccc stereoisomer-purified tert-butoxycarbonyloxy-protected calix[4]resorcinarene molecular resists blended with photoacid generator exhibit a non-uniform photoacid-catalyzed reaction in thin films. The surface displays a reduced reaction extent, compared with the bulk, with average surface-layer thickness 7.0 ± 1.8 nm determined by neutron reflectivity with deuterium-labelled tert-butoxycarbonyloxy groups. Ambient impurities (amines and organic bases) are known to quench surface reactions and contribute, but grazing-incidence X-ray diffraction shows an additional effect that the protected molecular resists are preferentially oriented at the surface, whereas the bulk of the film displays diffuse scattering representative of amorphous packing. The surface deprotection reaction and presence of photoacid were quantified by near-edge X-ray absorption fine-structure measurements.


Proceedings of SPIE | 2009

Molecular Glass Resists Developable in Supercritical Carbon Dioxide for 193 nm Lithography

Jing Sha; Jin-Kyun Lee; Christopher K. Ober

In order to meet the growing demand for smaller and higher-performance microelectronic devices, attention has been focused on developing molecular glass photoresists which can be employed under next-generation 193-nm immersion lithography conditions. These amorphous organic compounds produce high-resolution patterns due to their smaller pixel size and lack of chain entanglement compared with polymer photoresists. Specially designed molecular resists have substantial solubilities in supercritical carbon dioxide (scCO2) which can be altered through acid-catalyzed deprotection reactions. While molecular resists based on phenols have been demonstrated for high-resolution patternability, scCO2- developable molecular materials have not yet been reported for 193-nm lithography. In this paper, we introduce alicyclic materials based on naturally occurring backbones as chemically amplified molecular resists developable in scCO2. Methylated β-cyclodextrin and cholic acid derivatives with acid-labile protecting groups form good amorphous thin films with high glass transition temperatures (>100 °C). These molecules show the capability of being patterned and developed in scCO2 with resolution below 200 nm.


Proceedings of SPIE | 2008

New Architectures for High Resolution Patterning

Anuja De Silva; Nelson M. Felix; Drew C. Forman; Jing Sha; Christopher K. Ober

The ability of the semiconductor industry to reduce device dimensions below 45 nm is hindered by limitations in both resist material and processing techniques. High resolution and sensitivity along with low line edge roughness are key requirements of next generation resist materials. In order to meet future demands of the semiconductor industry, new resist design strategies are being considered. In the past few years, we have focused on developing small molecule resists capable of high resolution patterning. Despite their small size, these molecules known as molecular glasses can be designed to demonstrate high glass transition temperature (Tg) comparable to polymeric resists. Several ring and branched architectures with high Tg values that have attained feature resolution as small as 30nm through Extreme Ultraviolet (EUV) exposure will be discussed. In addition to potential performance advantages, the small size of these resist molecules also allows solvent free processing techniques to be utilized. Our efforts on physical vapor deposition and supercritical CO2 development of molecular glass resists will also be highlighted. Furthermore, we are also investigating hybrid resist materials by combining short polymeric arms with various molecular glass cores. These innovative architectures are being explored at 193nm wavelength through fundamental structure - property analysis.

Collaboration


Dive into the Jing Sha's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Peter V. Bonnesen

Oak Ridge National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Shuhui Kang

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Vivek M. Prabhu

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Sushil K. Satija

National Institute of Standards and Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge