Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jos Huisken is active.

Publication


Featured researches published by Jos Huisken.


IEEE Transactions on Biomedical Circuits and Systems | 2011

A 2.4 GHz ULP OOK Single-Chip Transceiver for Healthcare Applications

Maja Vidojkovic; Xiongchuan Huang; Pieter Harpe; Simonetta Rampu; Cui Zhou; Li Huang; J. van de Molengraft; Koji Imamura; Benjamin Busze; Frank Bouwens; Mario Konijnenburg; Juan Santana; Arjan Breeschoten; Jos Huisken; Kjp Philips; Guido Dolmans; H. de Groot

This paper describes an ultra-low power (ULP) single chip transceiver for wireless body area network (WBAN) applications. It supports on-off keying (OOK) modulation, and it operates in the 2.36-2.4 GHz medical BAN and 2.4-2.485 GHz ISM bands. It is implemented in 90 nm CMOS technology. The direct modulated transmitter transmits OOK signal with 0 dBm peak power, and it consumes 2.59 mW with 50% OOK. The transmitter front-end supports up to 10 Mbps. The transmitter digital baseband enables digital pulse-shaping to improve spectrum efficiency. The super-regenerative receiver front-end supports up to 5 Mbps with -75 dBm sensitivity. Including the digital part, the receiver consumes 715 μW at 1 Mbps data rate, oversampled at 3 MHz. At the system level the transceiver achieves PER=10 -2 at 25 meters line of site with 62.5 kbps data rate and 288 bits packet size. The transceiver is integrated in an electrocardiogram (ECG) necklace to monitor the hearts electrical property.


IEEE Transactions on Biomedical Circuits and Systems | 2014

A Configurable and Low-Power Mixed Signal SoC for Portable ECG Monitoring Applications

Hyejung Kim; Sunyoung Kim; Nick Van Helleputte; Antonio Artes; Mario Konijnenburg; Jos Huisken; Chris Van Hoof; Refet Firat Yazicioglu

This paper describes a mixed-signal ECG System-on-Chip (SoC) that is capable of implementing configurable functionality with low-power consumption for portable ECG monitoring applications. A low-voltage and high performance analog front-end extracts 3-channel ECG signals and single channel electrode-tissue-impedance (ETI) measurement with high signal quality. This can be used to evaluate the quality of the ECG measurement and to filter motion artifacts. A custom digital signal processor consisting of 4-way SIMD processor provides the configurability and advanced functionality like motion artifact removal and R peak detection. A built-in 12-bit analog-to-digital converter (ADC) is capable of adaptive sampling achieving a compression ratio of up to 7, and loop buffer integration reduces the power consumption for on-chip memory access. The SoC is implemented in 0.18 μm CMOS process and consumes 32 μW from a 1.2 V while heart beat detection application is running, and integrated in a wireless ECG monitoring system with Bluetooth protocol. Thanks to the ECG SoC, the overall system power consumption can be reduced significantly.


design, automation, and test in europe | 2007

An FPGA Design Flow for Reconfigurable Network-Based Multi-Processor Systems on Chip

Akash Kumar; Ma Andreas Hansson; Jos Huisken; Henk Corporaal

Multi-processor systems on chip (MPSoC) platforms are becoming increasingly more heterogeneous and are shifting towards a more communication-centric methodology. Networks on chip (NoC) have emerged as the design paradigm for scalable on-chip communication architectures. As the system complexity grows, the problem emerges as how to design and instantiate such a NoC-based MPSoC platform in a systematic and automated way. This paper presents an integrated flow to automatically generate a highly configurable NoC-based MPSoC for FPGA instantiation. The system specification is done on a high level of abstraction, relieving the designer of error-prone and time consuming work. The flow uses the state-of-the-art /Ethereal NoC, and silicon hive processing cores, both configurable at design- and run-time. The authors use this flow to generate a range of sample designs whose functionality has been verified on a Celoxica RC300E development board. The board, equipped with a Xilinx Virtex II 6000, also offers a huge number of peripherals, and shows how the insertion is automated in the design for easy debugging and prototyping


international solid-state circuits conference | 2011

A voltage-scalable biomedical signal processor running ECG using 13pJ/cycle at 1MHz and 0.4V

Maryam Ashouei; Jos Hulzink; Mario Konijnenburg; Jun Zhou; Filipa Duarte; Arjan Breeschoten; Jos Huisken; Jan Stuyt; Harmke de Groot; Francisco Barat; Johan David; Johan Van Ginderdeuren

Recent work on designing ultra-low-power systems has focused on the sub-threshold regime [1–3] and an energy efficiency of a few pJ/cycle was reported. While operating at the minimum energy point is attractive for energy-frugal devices like those used for wireless biomedical signal monitoring, the achieved clock frequency is usually in the kHz range. The low frequency combined with limited processing capacity, small on-chip memory, and low computation precision prevents the use of these systems for complex ambulatory monitoring beyond a simple ECG algorithm. Low-voltage systems with more computational power are demonstrated in [4] and [5].


international solid-state circuits conference | 2011

A 2.4GHz ULP OOK single-chip transceiver for healthcare applications

Maja Vidojkovic; Xiongchuan Huang; Pieter Harpe; Simonetta Rampu; Cui Zhou; Li Huang; Koji Imamura; Ben Busze; Frank Bouwens; Mario Konijnenburg; Juan Santana; Arjan Breeschoten; Jos Huisken; Guido Dolmans; Harmke de Groot

Wireless body-area networks (WBAN) are used for communication among sensor nodes operating on, in or around the human body, e.g. for healthcare purposes. In view of energy autonomy, the total energy consumption of the sensor nodes should be minimized. Because of their low complexity, a combination of the super-regenerative (SR) principle [1–3] and OOK modulation enables ultra-low power (ULP) consumption. This work presents a 2.4GHz ULP OOK singlechip transceiver for WBAN applications. A block diagram of the implemented transceiver is shown in Fig. 26.3.1. Next to the direct modulation TX [4] and SR RF [5] front-ends, this work integrates analog and digital baseband, PLL functionality and additional programmability for flexible data rates, and achieves ultra-low power consumption for the overall system.


international symposium on circuits and systems | 2011

Evaluation of 90nm 6T-SRAM as Physical Unclonable Function for secure key generation in wireless sensor nodes

Georgios N. Selimis; Mario Konijnenburg; Maryam Ashouei; Jos Huisken; Harmke de Groot; Vincent van der Leest; Geert Jan Schrijen; Marten van Hulst; Pim Tuyls

Due to the unattended nature of WSN (Wireless Sensor Network) deployment, each sensor can be subject to physical capture, cloning and unauthorized device alteration. In this paper, we use the embedded SRAM, often available on a wireless sensor node, for secure data (cryptographic keys, IDs) generation which is more resistant to physical attacks. We evaluate the physical phenomenon that the initial state of a 6T-SRAM cell is highly dependent on the process variations, which enables us to use the standard SRAM circuit, as a Physical Unclonable Function (PUF). Important requirements to serve as a PUF are that the start-up values of an SRAM circuit are uniquely determined, unpredictable and similar each time the circuit is turned on. We present the evaluation results of the internal SRAM memories of low power ICs as PUFs and the statistical analysis of the results. The experimental results prove that the low power 90nm commercial 6T-SRAMs are very useful as a PUF. As far as we know, this is the first work that provides an extensive evaluation of 6T-SRAM-based PUF, at different environmental, electrical, and ageing conditions to representing the typical operating conditions of a WSN.


Journal of Medical Systems | 2011

A Lightweight Security Scheme for Wireless Body Area Networks: Design, Energy Evaluation and Proposed Microprocessor Design

Georgios N. Selimis; Li Huang; Fabien Massé; Ioanna Tsekoura; Maryam Ashouei; Francky Catthoor; Jos Huisken; Jan Stuyt; Guido Dolmans; Julien Penders; Harmke de Groot

In order for wireless body area networks to meet widespread adoption, a number of security implications must be explored to promote and maintain fundamental medical ethical principles and social expectations. As a result, integration of security functionality to sensor nodes is required. Integrating security functionality to a wireless sensor node increases the size of the stored software program in program memory, the required time that the sensor’s microprocessor needs to process the data and the wireless network traffic which is exchanged among sensors. This security overhead has dominant impact on the energy dissipation which is strongly related to the lifetime of the sensor, a critical aspect in wireless sensor network (WSN) technology. Strict definition of the security functionality, complete hardware model (microprocessor and radio), WBAN topology and the structure of the medium access control (MAC) frame are required for an accurate estimation of the energy that security introduces into the WBAN. In this work, we define a lightweight security scheme for WBAN, we estimate the additional energy consumption that the security scheme introduces to WBAN based on commercial available off-the-shelf hardware components (microprocessor and radio), the network topology and the MAC frame. Furthermore, we propose a new microcontroller design in order to reduce the energy consumption of the system. Experimental results and comparisons with other works are given.


biomedical circuits and systems conference | 2009

Low-power robust beat detection in ambulatory cardiac monitoring

Iñaki Romero; Bernard Grundlehner; Julien Penders; Jos Huisken; Yahya H. Yassin

With new advances in ambulatory monitoring new challenges appear due to degradation in signal quality and limitations in hardware requirements. Existing signal analysis methods should be re-evaluated in order to adapt to the restrictive requirements of these new applications. With this motivation, we chose a robust beat detection algorithm and optimized it further to be running in an embedded platform within a cardiac monitoring sensor node. The algorithm was designed in floating point in Matlab and evaluated in order to study its performance under a wide range of conditions. The initial PC version of the algorithm obtained a good performance under a wide variety of conditions (Se = 99.65% and + P = 99.79% on the MIT/BIH arrhythmia database and Se = 99.88%, + P = 99.93% on our own database with ambulatory data). In this study, the algorithm is adapted and further optimized to work in real time on an embedded digital processor, while keeping this performance without degradation. The run-time memory usage of the application was of 150 KB with an execution time of 1.5 million cycles and an average power consumption of 494 ¿W for an ECG of 3 seconds length and sampling frequency of 198 Hz. The algorithm implementation in a general purpose processor will put significant limits on the performance in terms of power consumption. We propose possible specifications for an application-optimized processor for more efficient ECG analysis.


IEEE Transactions on Biomedical Circuits and Systems | 2011

An Ultra Low Energy Biomedical Signal Processing System Operating at Near-Threshold

Jos Hulzink; Mario Konijnenburg; Maryam Ashouei; Arjan Breeschoten; T. Berset; Jos Huisken; Jan Stuyt; H. de Groot; F. Barat; J. David; J. van Ginderdeuren

This paper presents a voltage-scalable digital signal processing system designed for the use in a wireless sensor node (WSN) for ambulatory monitoring of biomedical signals. To fulfill the requirements of ambulatory monitoring, power consumption, which directly translates to the WSN battery lifetime and size, must be kept as low as possible. The proposed processing platform is an event-driven system with resources to run applications with different degrees of complexity in an energy-aware way. The architecture uses effective system partitioning to enable duty cycling, single instruction multiple data (SIMD) instructions, power gating, voltage scaling, multiple clock domains, multiple voltage domains, and extensive clock gating. It provides an alternative processing platform where the power and performance can be scaled to adapt to the application need. A case study on a continuous wavelet transform (CWT)-based heart-beat detection shows that the platform not only preserves the sensitivity and positive predictivity of the algorithm but also achieves the lowest energy/sample for ElectroCardioGram (ECG) heart-beat detection publicly reported today.


design automation conference | 2012

Standard cell sizing for subthreshold operation

B Bo Liu; Maryam Ashouei; Jos Huisken; José Pineda de Gyvez

Process variability severely impacts the performance of circuits operating in the subthreshold domain. Among other reasons, this mainly stems from the fact that subthreshold current follows a widely spread Log-Normal distribution. In this paper we introduce a new transistor sizing methodology for standard cells. Our premise relies on balancing the N and P network currents based on statistical formulations. Our approach renders more robust cells. We observe up to 57% better performance and 69% lower energy consumption on a set of ISCAS circuits when they are synthesized with our library as opposed to a commercial library in a CMOS 90nm technology.

Collaboration


Dive into the Jos Huisken's collaboration.

Top Co-Authors

Avatar

Stefan Cosemans

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Vibhu Sharma

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Wim Dehaene

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge