Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Julius Joseph Santillan is active.

Publication


Featured researches published by Julius Joseph Santillan.


Applied Physics Express | 2013

Analysis of Stochastic Effect in Line-and-Space Resist Patterns Fabricated by Extreme Ultraviolet Lithography

Takahiro Kozawa; Julius Joseph Santillan; Toshiro Itani

Extreme ultraviolet (EUV) lithography is promising for the high-volume production of semiconductor devices for the 16 nm node and below. However, the stochastic effect is a significant concern in lithography using high-energy (92.5 eV) photons and highly sensitive resists. In this study, we report a technique for evaluating the stochastic effect on line edge roughness (LER). Resist patterns were analyzed using a Monte Carlo simulation on the basis of the sensitization and reaction mechanisms of chemically amplified EUV resists. The contribution of protected unit fluctuation to LER was estimated to be ±0.31 to ±0.37σ.


Journal of Vacuum Science & Technology B | 2007

Image contrast slope and line edge roughness of chemically amplified resists for postoptical lithography

Takahiro Kozawa; Seiichi Tagawa; Julius Joseph Santillan; Minoru Toriumi; Toshiro Itani

The reduction of line edge roughness (LER) or linewidth roughness is the most challenging issue associated with the development of resist processes for the next generation lithography. The extent of LER has been reported to correlate with the image contrast slope. Although the postoptical lithography such as electron beam and extreme ultraviolet lithographies can create high contrast images, low-energy electrons degrade image contrasts in these lithographies. The precise prediction of image contrasts requires the incorporation of the effects of low-energy electrons into process simulators. For the reduction of LER, better understanding of LER formation is needed. In this work, the authors proposed a simulation method for the calculation of latent images for postoptical lithographies on the basis of the sensitization mechanisms of chemically amplified resists.


Japanese Journal of Applied Physics | 2008

Effects of Rate Constant for Deprotection on Latent Image Formation in Chemically Amplified Extreme Ultraviolet Resists

Takahiro Kozawa; Seiichi Tagawa; Julius Joseph Santillan; Minoru Toriumi; Toshiro Itani

The resolution of chemically amplified extreme ultraviolet (EUV) resists has reached sub-30 nm. However, the sensitivity and the reduction in the line edge roughness of such resists is still inadequate for the realization of EUV lithography. The pattern formation of chemically amplified resists is based on chemical reactions, which start with energy deposition from exposure tools and are terminated by quenchers or by cutting the heat supply for chemical reactions. In this study, the effects of rate constant for deprotection on latent image formation in chemically amplified EUV resists were investigated from the viewpoint of the difference between high- and low-activation-energy (Ea)-type resists. In chemically amplified resists, neutralization and deprotection competitively proceed. In high-Ea-type resists, in which neutralization proceeds before deprotection, the contrast of pattern edges is improved by suppressing deprotection in the low-dose region. In low-Ea-type resists, in which a fast deprotection is expected, the difference in the extent of deprotection between the high- and low-dose regions can be enhanced by promoting deprotection over neutralization. For the improvement in high- or low-Ea-resist performance, it is effective to enhance or balance all advantages of chemical reactions.


Applied Physics Express | 2010

In situ Characterization of Photoresist Dissolution

Toshiro Itani; Julius Joseph Santillan

The dissolution process plays an important role in optimizing photoresist materials and processes for next-generation lithographic technologies. In this paper, we describe the application of high-speed atomic force microscopy for in situ analysis and characterization of photoresist dissolution. In particular, the physical changes in an exposed extreme ultraviolet (EUV) photoresist film are analyzed in real time – before, during, and after the development process. In this initial work, we report the dissolution characteristics of an EUV-exposed poly(4-hyrdroxystyrene)-based polymer resist processed with a tetramethylammonium hydroxide developer solution.


Japanese Journal of Applied Physics | 2008

Feasibility Study of Chemically Amplified Extreme Ultraviolet Resists for 22 nm Fabrication

Takahiro Kozawa; Seiichi Tagawa; Julius Joseph Santillan; Minoru Toriumi; Toshiro Itani

The trade-off between sensitivity, resolution, and line edge roughness is the most important concern for the development of high-performance resists based on chemical amplification. Below sub-30 nm patterns, a chemical balance between acids and quenchers basically controls the quality of latent images. Under this situation, an ideal chemically amplified resist is the one in which the neutralization between acids and quenchers proceeds before the start of acid catalytic reactions and chemical reactions occur with a diffusion-controlled rate. In this study, the qualities of latent images were compared among exposure doses of 2, 5, 10, and 20 mJ cm-2 under the ideal condition. The authors found that chemically amplified resists with a 22 nm resolution and a 10 mJ cm-2 sensitivity are feasible under the ideal condition. However, a high-quality image is unlikely to be obtained upon 5 mJ cm-2 exposure without increasing polymer absorption or acid generation efficiency per photon.


Journal of Vacuum Science & Technology B | 2009

Alternative developer solutions for extreme ultraviolet resist

Toshiro Itani; Julius Joseph Santillan

The use of the tetrapropylammonium hydroxide (TPAH) and tetrabutylammonium hydroxide (TBAH) aqueous developer solutions is proposed as an alternative to the tetramethylammonium hydroxide (TMAH) aqueous developer solution (semiconductor industry standard). A polyhydroxystyrene-based extreme ultraviolet (EUV) resist was utilized at a film thickness of 60nm. To confirm the effectiveness of these alternative developer solutions in improving linewidth roughness (LWR) performance, patterning exposures were carried out using the small field exposure tool with annular (σouter0.7∕σinner0.3) illumination conditions. Dissolution contrast curves of EUV resist using the TMAH, TPAH, and TBAH developer solutions have shown similar dissolution characteristics which means that the use of these alternative developer solutions might have minimal effect on the resist resolution limit and sensitivity. Imaging performance analysis results have shown negligible effect on the resolution capability and sensitivity. A 20% LWR impr...


Japanese Journal of Applied Physics | 2008

Quencher Effects at 22 nm Pattern Formation in Chemically Amplified Resists

Takahiro Kozawa; Seiichi Tagawa; Julius Joseph Santillan; Toshiro Itani

The trade-off between line edge roughness (LER), sensitivity, and resolution is the most challenging issue associated with the development of resist processes for extreme ultraviolet (EUV) lithography. It has been reported that quenchers (basic compounds) affect the sensitivity, resolution, and extent of LER. However, the details are still unclear. In this study, the effects of quencher diffusion constants in 22 nm pattern formation were investigated by a simulation based on the reaction mechanism of chemically amplified EUV resists. For the suppression of line width expansion due to acid diffusion, mobile quenchers are effective. The quality of a latent image is also improved with the increase in diffusion constant. However, the high mobility of quenchers increases the pattern dependence of line width. The same order of diffusion constants for acids and quenchers are preferable for 22 nm fabrication. [DOI: 10.1143/JJAP.47.5404]


Japanese Journal of Applied Physics | 2013

Relationship between Defects and Stochastic Effect in Chemically Amplified Resists Used for Extreme Ultraviolet Lithography

Takahiro Kozawa; Julius Joseph Santillan; Toshiro Itani

With the approach of the realization of extreme ultraviolet (EUV) lithography, practical issues such as the defects of resist patterns have attracted attention. In this study, the defects of line-and-space resist patterns were investigated from the viewpoint of the stochastic effects of chemical reactions. The stochastic effect was expressed using the standard deviation σ of the protected-unit concentration. To eliminate bridges within a 6.8 µm length in the line direction, a 1.5–2.0σ difference is required between the average protected-unit concentration and the dissolution point at the center of the space. To eliminate line breaks and severe pinching within a 6.1 µm length in the line direction, a 1.2–1.6σ difference is required between the average protected-unit concentration and the dissolution point at the center of the resist line pattern.


Proceedings of SPIE | 2010

Development of resist material and process for hp-2x-nm devices using EUV lithography

Kentaro Matsunaga; Hiroaki Oizumi; Koji Kaneyama; Gousuke Shiraishi; Kazuyuki Matsumaro; Julius Joseph Santillan; Toshiro Itani

Extreme ultraviolet (EUV) lithography is the leading candidate for the manufacture of semiconductor devices at the hp- 22-nm technology node and beyond. The Selete program covers the evaluation of manufacturability for the EUV lithography process. So, we have begun a yield analysis of hp-2x-nm test chips using the EUV1 full-field exposure tool. However, the resist performance does not yet meet the stringent requirements for resolution limit, sensitivity, and line edge roughness. We reported on Selete standard resist 4 (SSR4) at the EUVL Symposium in 2009. Although it has better lithographic performance than SSR3 does, pattern collapse limits the resolution to hp 28 nm. To improve the resolution, we need to optimize the process so as to prevent pattern collapse. An evaluation of SSR4 for the hp-2x-nm generation revealed that a thinner resist and the use of a TBAH solution for the developer were effective in mitigating this problem. Furthermore, the use of an underlayer and an alternative rinse solution increased the exposure latitude by preventing pattern collapse when the resist is overexposed. These optimizations improved the resolution limit to hp 22 nm.


Journal of Vacuum Science & Technology B | 2007

Dissolution characteristics and reaction kinetics of molecular resists for extreme-ultraviolet lithography

Minoru Toriumi; Julius Joseph Santillan; Toshiro Itani; Takahiro Kozawa; Seiichi Tagawa

Molecular resist of polyphenol was evaluated as an extreme-ultraviolet resist compared with a polymer resist of p(tert-butoxycarbonyl-hydroxystyrene). The molecular resist shows higher sensitivity than the polymer resist. The dissolution behavior was studied by quartz crystal microbalance method. The molecular resist shows thinner swelling layer than the polymer resist. The deprotection mechanism was approximated by simple reaction equations, and Fourier-transform infrared spectra were interpreted to give the products of a quantum yield and a deprotection rate constant as 6.2×10−8 and 6.0×10−8cm3∕moleculess for molecular and polymer resists. Both deprotection efficiencies are almost same. The higher sensitivity of the molecular resist is due to the dissolution behavior not the reaction mechanism.

Collaboration


Dive into the Julius Joseph Santillan's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Kazuyuki Matsumaro

National Institute of Advanced Industrial Science and Technology

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge