Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jun-Yen Tewg is active.

Publication


Featured researches published by Jun-Yen Tewg.


Journal of The Electrochemical Society | 2004

Electrical and Physical Characterization of Zirconium-Doped Tantalum Oxide Thin Films

Jun-Yen Tewg; Yue Kuo; Jiang Lu; Bruno W. Schueler

Thin films of zirconium-doped tantalum oxide (Zr-doped TaO x ) deposited by reactive sputtering were studied in an effort to replace silicon dioxide (SiO 2 ) as the gate dielectric material for future metal-oxide-semiconductor devices. Influences of process parameters, such as Zr concentration, postdeposition annealing temperature, and film thickness, on the films electrical and physical characteristics were investigated. The lightly Zr-doped film (15 nm thick) showed a low current density, e.g., 1.27 X 10 -9 A/cm 2 at -1 MV/cm in the accumulation regime. The current conduction mechanism of the Zr-doped TaO x films was analyzed and compared with mechanisms of Poole-Frenkel and Schottky emissions. In comparison with pure tantalum oxide (TaO x ) and zirconium oxide (ZrO,) films, the Zr-doped TaO x films had higher dielectric constants. A high-temperature annealing step reduced the films hysteresis and fixed charge density. The interface layer composition changed from SiO x to zirconium silicate (Zr x Si y O) when the Zr concentration in the film was increased. The binding energies of Ta 4f, Zr 3d, and O Is of the bulk shifted to lower values as the Zr concentration increased due to the charge transfer among elements. In summary, the Zr-doped TaO x films showed many advantages over pure TaO x and ZrO y films for the gate dielectric application.


Journal of The Electrochemical Society | 2006

Hafnium-Doped Tantalum Oxide High-k Gate Dielectrics

Jiang Lu; Yue Kuo; Jun-Yen Tewg

Physical and electrical properties of hafnium-doped tantalum oxide thin films were studied. The doping process affects the structures, composition, thickness, dielectric constant, charges, and leakage current density of both the bulk film and the interface layer. Compared with the undoped film, the lightly doped film exhibited improved dielectric properties, such as a higher dielectric constant, a smaller fixed charge density, a larger dielectric strength, and a lower leakage current. The postdeposition annealing process condition, such as temperature and time, also influences the high-k films dielectric properties. In summary, the hafnium-doped tantalum oxide film is a promising high-k gate dielectric material for future metal-oxide-semiconductor devices.


Electrochemical and Solid State Letters | 2005

Suppression of Crystallization of Tantalum Oxide Thin Film by Doping with Zirconium

Jun-Yen Tewg; Yue Kuo; Jiang Lu

Metal oxide high dielectric constant materials suffer from crystallization after a high-temperature annealing step, which hinders their applications in future metal oxide semiconductor devices. We observed that when the tantalum oxide thin film was doped with zirconium, its amorphous-to-polycrystalline transition temperature was raised by more than 200°C. The crystal structure and grain size were affected by the dopant concentration, annealing temperature, and film thickness. The doping method changed the crystallization mechanism of the film. Therefore, the doping method is effective in improving the high-k dielectric electrical properties.


Japanese Journal of Applied Physics | 2003

Tantalum Nitride Interface Layer Influence on Dielectric Properties of Hafnium Doped Tantalum Oxide High Dielectric Constant Thin Films

Yue Kuo; Jiang Lu; Jun-Yen Tewg

Drastic improvement of the dielectric properties of the hafnium-doped tantalum oxide high dielectric constant thin film with the insertion of a 5 A tantalum nitride interface layer was observed. The films breakdown strength, leakage current, and apparent dielectric constant were improved with the addition of the interface layer. However, the interface layer introduced additional fixed charges to the film, which can only be partially removed with the 700°C annealing step. This thin interface layer did not change the phenomenon that the lightly doped film has an anomalous high k value compared with other doped or undoped films. The deposited TaNx interface film contained Ta–N, Ta–O and Si–O bonds after the 700°C anneal, which is a high k film. It also prevented the formation of an interface film with inferior qualities. This interface modification method is viable for future high k gate dielectric applications.


Journal of Vacuum Science & Technology B | 2006

Physical and electrical properties of Ta–N, Mo–N, and W–N electrodes on HfO2 high-k gate dielectric

Jiang Lu; Yue Kuo; Somenath Chatterjee; Jun-Yen Tewg

The influence of various types of metal nitride gate electrodes, i.e., tantalum nitride, molybdenum nitride, and tungsten nitride, on electrical characteristics of metal-oxide-semiconductor capacitors with hafnium oxide as the gate dielectric material has been studied. The result shows that both the physical and electrical properties of the high-k gate stack are influenced by the gate electrode materials and the post-metal-annealing temperature. Both the physical thickness and equivalent oxide thickness of the gate stack increased after the high-temperature N2 annealing step. The leakage current density decreased with the increase of the annealing temperature from 600to800°C. The work functions of these metal nitride electrodes decreased with the annealing temperature due to the variance of microstructure and chemical composition, as indicated by x-ray diffraction and second-ion-mass spectroscopy data. These metal nitride electrodes are suitable for n-channel metal-oxide-semiconductor device applications ...


Journal of The Electrochemical Society | 2005

Zirconium-Doped Tantalum Oxide Gate Dielectric Films Integrated with Molybdenum, Molybdenum Nitride, and Tungsten Nitride Gate Electrodes

Jun-Yen Tewg; Yue Kuo; Jiang Lu

Electrical properties of zirconium-doped tantalum oxide (Zr-doped TaO x ) high-k gate dielectric films integrated with Mo, MoN, and WN gate electrodes were studied. The Zr-doped TaO x film with the Zr/(Ta + Zr) ratio of 0.33, which showed good dielectric properties in previous studies, was used as the high-k film in this study. A single metallic Zr/Ta alloy target was used for the sputter deposition. The resistivities of the MoN and WN films were minimized by adjusting the N 2 concentration in the sputtering gas, i.e., 10% N 2 (90% Ar) for MoN and 2.5% N 2 for WN, respectively. Microstructures of the gate electrodes were investigated with X-ray diffraction. Current density, equivalent oxide thickness, breakdown strength, flatband voltage, hysteresis, interface state density, and frequency dispersion of capacitors with different gate electrode materials were analyzed and compared. Device characteristics changed drastically with the gate electrode material, mainly due to the difference in work functions.


Journal of The Electrochemical Society | 2005

Influence of a 5 Å tantalum nitride interface layer on dielectric properties of zirconium-doped tantalum oxide high-k films

Jun-Yen Tewg; Yue Kuo; Jiang Lu; Bruno W. Schueler

A 5 A thick tantalum nitride (TaN x ) interface layer was inserted between an 8 nm Zr-doped tantalum oxide (TaO x ) high-k film and a silicon substrate to improve dielectric properties for metal-oxide-semiconductor (MOS) gate dielectric applications. Compared to the Zr-doped TaO x film without the TaN x interface, the stacked structure showed improvement in the dielectric constant, leakage current density, and dielectric breakdown strength. However, the flatband voltage shift and interface state densities were slightly degraded. Hysteresis of C-V curves did not change substantially with this TaN x insertion. Structural and chemical analyses, i.e., secondary ion mass spectroscopy (SIMS) and X-ray photoelectron spectroscopy (XPS), were done to investigate this TaN x interface layer. The results suggested that TaN x reacted with oxygen atoms from the high-k film and formed tantalum oxynitride (TaO x N y ) after being annealed at 700°C in O 2 , which may be responsible for the observed improvement on dielectric properties.


Semiconductor Science and Technology | 2005

Effect of long-term He–Ne laser light exposure and subsequent annealing on hydrogenated amorphous silicon pin photodiodes

M Ristova; Yue Kuo; Hyun Ho Lee; Jun-Yen Tewg

Changes in the current?voltage (IV) characteristics were monitored on as prepared a-Si:H pin photodiodes during 60 min He?Ne laser illumination (every 15 min in situ measurements). After illumination was over, the pin diodes were subjected to 1 h annealing at 200 ?C and IVs were taken again. Results showed that the short circuit current (Isc) decreased with the He?Ne exposure time which is known as a Stabler?Wronski effect of degradation and increased after the annealing. In order to describe the origin of this phenomenon, a 1 ?m thick a-Si:H film was deposited on Mo-coated glass sheets by plasma enhanced chemical vapour deposition (PECVD) using the same procedure as that of the i-type layer in the pin diodes. Fourier transform infrared spectra (FTIR) were taken on the sample before and after the 1 h He?Ne laser exposure as well as after annealing for 1 h at 200 ?C in air. Hydrogen (H) concentration in the film was estimated from the typical Si?H vibration absorbance peak at 2000?cm?1. The results showed that the H-concentration decreases from the initial value of about 10%, to about 7% after the 1 h He?Ne laser exposure. After the thermal annealing, the hydrogen concentration increased to about 9%. The above hydrogen concentration change was directly related to the change in the IV characteristics of the pin a-Si:H diode.


international reliability physics symposium | 2005

Effects of post metallization annealing on the electrical reliability of ultra-thin HfO/sub 2/ films with MoN and WN gate electrodes

S. Chatterjee; Yue Kuo; Jiang Lu; Jun-Yen Tewg; P. Majhi

Aggressive scaling of CMOS devices for submicron technology has enabled the exponential growth of MOSFETs in complexity and functionality over the past decades. HfO/sub 2/ films have been shown to be promising high-k candidates. Among refractory metal nitrides, WN and MoN are the promising candidates for gate electrode materials because of their excellent diffusion barrier properties and high melting points. The origin of traps in high-k dielectrics, however, still remains a question. When an electron current is passed through the HfO/sub 2/ of a MOS capacitor, defects such as electron traps, interface states, etc., gradually build up in the oxide. The stress induced leakage current (SILC) is correlated with the tunneling current through the oxide layer during electrical stress. A conductive path is created in the gate oxide layer after reaching a critical trap density, called soft breakdown (SBD). Then, the Joule heating in the local conductive path leads to lateral propagation of the leakage spots and the oxide is finally broken down, i.e. hard breakdown (HBD). The present work is focused on the electrical reliability aspects of different gate electrodes (MoN and WN) with ultra thin HfO/sub 2/ (5 nm) for MOS capacitor fabrication.


23rd Annual BACUS Symposium on Photomask Technology | 2003

Passivation of the 157-nm pellicle with nanometer thin films

Yue Kuo; Jiang Lu; Jun-Yen Tewg; Paul Zimmerman

The polymeric 157 nm pellicle was passivated on both sides to isolate it from environmental contamination. TAF pellicles were sputter deposited with 5 nm thick films of CaF2, MgF2, Al, Mg, TiN, SiNx, Si, and PTFE, separately. The light transmission and life expectancy of the coated and uncoated pellicles were investigated. The coated pellicles were also analyzed with ESCA for surface structure changes. The coating process changed the pellicles deterioration mechanism and life expectancy.

Collaboration


Dive into the Jun-Yen Tewg's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge