Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Jun-Young Park is active.

Publication


Featured researches published by Jun-Young Park.


international solid-state circuits conference | 2010

A 345 mW Heterogeneous Many-Core Processor With an Intelligent Inference Engine for Robust Object Recognition

Seungjin Lee; Jinwook Oh; Jun-Young Park; Joonsoo Kwon; Minsu Kim; Hoi-Jun Yoo

Fast and robust object recognition of cluttered scenes presents two main challenges: (1) the large number of features to process requires high computational power, and (2) false matches from background clutter can degrade recognition accuracy. Previously, saliency based bottom-up visual attention [1,2] increased recognition speed by confining the recognition processing only to the salient regions. But these schemes had an inherent problem: the accuracy of the attention itself. If attention is paid to the false region, which is common when saliency cannot distinguish between clutter and object, recognition accuracy is degraded. In order to improve the attention accuracy, we previously reported an algorithm, the Unified Visual Attention Model (UVAM) [3], which incorporates the familiarity map on top of the saliency map for the search of attentive points. It can cross-check the accuracy of attention deployment by combining top-down attention, searching for “meaningful objects”, and bottom-up attention, just looking for conspicuous points. This paper presents a heterogeneous many-core (note: we use the term “many-core” instead of “multi-core” to emphasize the large number of cores) processor that realizes the UVAM algorithm to achieve fast and robust object recognition of cluttered video sequences.


international solid-state circuits conference | 2012

A 320 mW 342 GOPS Real-Time Dynamic Object Recognition Processor for HD 720p Video Streams

Jinwook Oh; Gyeonghoon Kim; Jun-Young Park; Injoon Hong; Seungjin Lee; Joo-Young Kim; Jeong-Ho Woo; Hoi-Jun Yoo

Moving object recognition in a video stream is crucial for applications such as unmanned aerial vehicles (UAVs) and mobile augmented reality that require robust and fast recognition in the presence of dynamic camera noise. Devices in such applications suffer from severe motion/camera blur noise in low-light conditions due to low-sensitivity CMOS image sensors, and therefore require higher computing power to obtain robust results vs. devices used in still image applications. Moreover, HD resolution has become so universal today that even smartphones support applications with HD resolution. However, many object recognition processors and accelerators reported for mobile applications only support SD resolution due to the computational complexity of object recognition algorithms. This paper presents a moving-target recognition processor for HD video streams. The processor is based on a context-aware visual attention model (CAVAM).


international solid-state circuits conference | 2013

A 646GOPS/W multi-classifier many-core processor with cortex-like architecture for super-resolution recognition

Jun-Young Park; Injoon Hong; Gyeonghoon Kim; Youchang Kim; Kyuho Jason Lee; Seong-Wook Park; Kyeongryeol Bong; Hoi-Jun Yoo

Object recognition processors have been reported for the applications of autonomic vehicle navigation, smart surveillance and unmanned air vehicles (UAVs) [1-3]. Most of the processors adopt a single classifier rather than multiple classifiers even though multi-classifier systems (MCSs) offer more accurate recognition with higher robustness [4]. In addition, MCSs can incorporate the human vision system (HVS) recognition architecture to reduce computational requirements and enhance recognition accuracy. For example, HMAX models the exact hierarchical architecture of the HVS for improved recognition accuracy [5]. Compared with SIFT, known to have the best recognition accuracy based on local features extracted from the object [6], HMAX can recognize an object based on global features by template matching and a maximum-pooling operation without feature segmentation. In this paper we present a multi-classifier many-core processor combining the HMAX and SIFT approaches on a single chip. Through the combined approach, the system can: 1) pay attention to the target object directly with global context consideration, including complicated background or camouflaging obstacles, 2) utilize the super-resolution algorithm to recognize highly blurred or small size objects, and 3) recognize more than 200 objects in real-time by context-aware feature matching.


Scientific Reports | 2015

Micro-to-nano-scale deformation mechanisms of a bimodal ultrafine eutectic composite

Seoung Wan Lee; Jeong Tae Kim; Sung Hwan Hong; Hae Jin Park; Jun-Young Park; Naesung Lee; Yongho Seo; Jin-Yoo Suh; J. Eckert; Do Hyang Kim; Jin Man Park; Ki Buem Kim

The outstading mechanical properties of bimodal ultrafine eutectic composites (BUECs) containing length scale hierarchy in eutectic structure were demonstrated by using AFM observation of surface topography with quantitative height measurements and were interpreted in light of the details of the deformation mechanisms by three different interface modes. It is possible to develop a novel strain accommodated eutectic structure for triggering three different interface-controlled deformation modes; (I) rotational boundary mode, (II) accumulated interface mode and (III) individual interface mode. A strain accommodated microstructure characterized by the surface topology gives a hint to design a novel ultrafine eutectic alloys with excellent mechanical properties.


Nano Letters | 2015

Vertically Integrated Multiple Nanowire Field Effect Transistor

Byung-Hyun Lee; Min-Ho Kang; Dae-Chul Ahn; Jun-Young Park; Tewook Bang; Seung-Bae Jeon; Jae Hur; Dongil Lee; Yang-Kyu Choi

A vertically integrated multiple channel-based field-effect transistor (FET) with the highest number of nanowires reported ever is demonstrated on a bulk silicon substrate without use of wet etching. The driving current is increased by 5-fold due to the inherent vertically stacked five-level nanowires, thus showing good feasibility of three-dimensional integration-based high performance transistor. The developed fabrication process, which is simple and reproducible, is used to create multiple stiction-free and uniformly sized nanowires with the aid of the one-route all-dry etching process (ORADEP). Furthermore, the proposed FET is revamped to create nonvolatile memory with the adoption of a charge trapping layer for enhanced practicality. Thus, this research suggests an ultimate design for the end-of-the-roadmap devices to overcome the limits of scaling.


ACS Applied Materials & Interfaces | 2016

Study of Graphene-based 2D-Heterostructure Device Fabricated by All-Dry Transfer Process

Dung Hoang Tien; Jun-Young Park; Ki Buem Kim; Naesung Lee; Taekjib Choi; Philip Kim; Takashi Taniguchi; Kenji Watanabe; Yongho Seo

We developed a technique for transferring graphene and hexagonal boron nitride (hBN) in dry conditions for fabrication of van der Waals heterostructures. The graphene layer was encapsulated between two hBN layers so that it was kept intact during fabrication of the device. For comparison, we also fabricated the devices containing graphene on SiO2/Si wafer and graphene on hBN. Electrical properties of the devices were investigated at room temperature. The mobility of the graphene on SiO2 devices and graphene on hBN devices were 15,000 and 37,000 cm(2) V(-1) s(-1), respectively, while the mobility of the sandwich structure device reached the highest value of ∼100,000 cm(2) V(-1) s(-1), at room temperature. The electrical measurements of the samples were carried out in air and vacuum environments. We found that the electrical properties of the encapsulated graphene devices remained at a similar level both in a vacuum and in air, whereas the properties of the graphene without encapsulation were influenced by the external environment.


international solid-state circuits conference | 2016

14.1 A 126.1mW real-time natural UI/UX processor with embedded deep-learning core for low-power smart glasses

Seong-Wook Park; Sungpill Choi; Jinmook Lee; Minseo Kim; Jun-Young Park; Hoi-Jun Yoo

This paper presents a low-power natural UI/UX processor with an embedded deep-learning core (NINEX) to provide wearable AR for HMD users without calibration. A low-power and real-time natural UI/UX processor is fabricated using 65nm 8-metal CMOS technology, integrating 4.8M equivalent gates and 390KB SRAM for wearable AR. It consumes 126.1mW at 200 MHz, 1.2V. The NINEX handles the overall HMD UI/UX functionality (from pre-processing to graphics) and achieves 56.5% higher power efficiency vs. the latest HMD processor. It achieves 68.1% higher power efficiency and -2% higher gesture and speech recognition accuracy over a best-in-class pattern recognition processor.


symposium on vlsi circuits | 2010

A 1.2mW on-line learning mixed mode intelligent inference engine for robust object recognition

Jinwook Oh; Seungjin Lee; Minsu Kim; Joonsoo Kwon; Jun-Young Park; Joo-Young Kim; Hoi-Jun Yoo

An intelligent inference engine (IIE) is proposed as a controller for low power high speed robust object recognition processor. It contains analog digital mixed mode neuro-fuzzy circuits for the on-line learning to increase attention efficiency. It is implemented in 0.13um CMOS process and achieves 1.2mW power consumption with 94% average classification accuracy within 1us operation. The 0.765mm2 IIE achieves 76% attention efficiency, and reduces power and processing delay of the 50mm2 recognition processor by up to 37% and 28%, respectively, with 96 % recognition accuracy.


IEEE Journal of Solid-state Circuits | 2010

A 118.4 GB/s Multi-Casting Network-on-Chip With Hierarchical Star-Ring Combined Topology for Real-Time Object Recognition

Joo-Young Kim; Jun-Young Park; Seungjin Lee; Minsu Kim; Jinwook Oh; Hoi-Jun Yoo

A 118.4 GB/s multi-casting network-on-chip (MC-NoC) is proposed as communication platform for a real-time object recognition processor. For application-specific NoC design, target traffic patterns are elaborately analyzed. Through topology exploration, we derive a hierarchical star and ring (HS-R) combined architecture for low latency and inter-processor communication. Multi-casting protocol and router are developed to accelerate one-to-many (1-to-N) data transactions. With these two main features, the proposed MC-NoC reduces data transaction time and energy consumption for the target object recognition traffic by 20% and 23%, respectively. The 350 k MC-NoC fabricated in a 0.13 CMOS process consumes 48 mW at 400 MHz, 1.2 V.


IEEE Transactions on Electron Devices | 2016

Self-Curable Gate-All-Around MOSFETs Using Electrical Annealing to Repair Degradation Induced From Hot-Carrier Injection

Jun-Young Park; Dong-Il Moon; Myeong-Lok Seol; Choong-Ki Kim; Chang-Hoon Jeon; Hagyoul Bae; Tewook Bang; Yang-Kyu Choi

Device degradation induced by hot-carrier injection was repaired by electrical annealing using Joule heat through a built-in heater in a gate. The concentrated high temperature anneals the gate oxide locally and the degraded device parameters are recovered or further enhanced within a short time of 1 ms. Selecting a proper range of repair voltage is very important to maximize the annealing effects and minimize the extra damages caused by excessive high temperature. The repairing voltage is related to the resistance of the poly-Si gate according to the device scaling.

Collaboration


Dive into the Jun-Young Park's collaboration.

Researchain Logo
Decentralizing Knowledge