Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where K. Kenis is active.

Publication


Featured researches published by K. Kenis.


Japanese Journal of Applied Physics | 1998

Impact of Organic Contamination on Thin Gate Oxide Quality

Stefan De Gendt; D. Martin Knotter; K. Kenis; Michel Depas; Marc Meuris; Paul Mertens; Marc Heyns

The impact of organic contamination on the quality of 5-nm-thick gate oxide structures, both before and after gate oxidation, is studied. Sources of organic contamination are chemical surface modification (i.e. hexamethyldisilazane priming), wafer box storage and extended vacuum exposure. Gate oxide integrity is evaluated electrically. The origin and/or nature of the organic contamination is seen to have different effects on the electrical breakdown. Care should be taken when exposing silicon wafers to organic contamination prior to processing. Especially when contamination occurs at the SiO2/polysilicon interface, i.e. prior to a non-oxidizing process step, organics can be extremely deleterious.


Journal of The Electrochemical Society | 2000

Evaluation of Time‐of‐Flight Secondary Ion Mass Spectrometry for Metal Contamination Monitoring on Si Wafer Surfaces

H. De Witte; S. De Gendt; M. Douglas; Thierry Conard; K. Kenis; Paul Mertens; Wilfried Vandervorst; R. Gijbels

Due to stringent IC‐production requirements, the metallic contamination of silicon surfaces decreases to very low concentration levels (below ). Therefore new alternatives to measure the presence of these contaminants and to quantify their amounts are investigated. This study focuses on the capabilities of time of flight secondary ion mass spectrometry (TOF‐SIMS). It compares and combines TOF‐SIMS results with those of the standard technique direct total reflectance X‐ray fluorescence spectroscopy (TXRF). Next, it investigates the influence of the surface oxidation state (i.e., bare silicon, native and thermal oxide) on the TOF‐SIMS signal response. Then, TOF‐SIMS surface spectra are quantified using relative sensitivity factors, according to two different approaches (experimentally vs. theoretically derived). Finally, the influence of oxidation ambient on Fe redistribution in the thermal oxide layer is studied using the TOF‐SIMS dual beam option to perform depth profiling. A good general agreement is obtained between the quantified TOF‐SIMS surface spectra and the TXRF values. For the Fe distribution in the oxide layer, TOFSIMS reaches qualitatively the same conclusions as vapor‐phase decomposition‐droplet collection TXRF. For 5 nm oxide samples no difference between both furnace ambients can be observed. For 15 nm oxides, however, more Fe is trapped in the oxide when oxygen is added to the ambient.


Journal of The Electrochemical Society | 1998

Impact of Iron Contamination and Roughness Generated in Ammonia Hydrogen Peroxide Mixtures (SC1) on 5 nm Gate Oxides

S. De Gendt; D. M. Knotter; K. Kenis; Paul Mertens; M. Heyns

Immersion of hydrophobic (HF-last) silicon wafers into iron-contaminated ammonia peroxide mixtures (SC solution) results in the ormation of so-called clustered light point defects. At these sites, increased surface microroughness and local higher iron concentrations are observed. Also, device yield is strongly affected by the presence of iron contamination into SC solutions. It is demonstrated that only dHF/dHCl cleans are capable of completely eliminating any yield loss resulting from iron-contaminated SC treatments. The experimental observations can be understood based on the following model. Hydrogen peroxide decomposition is catalyzed by iron. Iron contamination (present in SC solution as insoluble hydroxide aggregates) deposits on the silicon wafer surface upon immersion of the latter. While doing so, the adsorbed iron cluster continues to catalyze further hydrogen peroxide decomposition. Local hydrogen peroxide depletion exposes the bare silicon surface to the etching activity o the ammonia. Local etching of the silicon creates microroughness. Additionally, iron becomes inhomogeneously incorporated into the bulk of the chemical oxide formed. Gate oxide integrity degradation can be observed to correlate with these sites. A subsequent acid clean is only efficient in eliminating the induced yield loss, if the oxide layer (with built-in iron) is completely removed.


Journal of Adhesion Science and Technology | 2009

Particle Removal Efficiency and Damage Analysis on Silicon Wafers after Megasonic Cleaning in Solvents

Francesca Barbagini; Sandip Halder; Tom Janssens; K. Kenis; Kurt Wostyn; Twan Bearda; Toan-Le Quoc; Peter Leunissen; Paul Mertens; Kyung-hyun Kim; Michael T. Andreas

The increasing complexity of semiconductor devices imposes challenging requirements on particle contamination and surface damage. To meet these requirements novel surface-cleaning processes are evaluated, which combine physical energy with organic solvents. In this work, the performance of megasonic cleaning with deionized water (DIW) and N-methylpyrrolidone (NMP) was evaluated in terms of particle removal efficiency (PRE) and damage analysis. The goal was to define an optimum process window where the PRE was maximum and the damage was minimum. Particle removal and damage analysis were performed on unpatterned silicon wafers and with patterned polysilicon lines, respectively, under identical sonic power and process parameters. A comparison between these two solvents reveals that at low sonic power the particle-cleaning performances in DIW and NMP are similar. At high sonic power, in both solvents a detailed analysis of the PRE and damage indicates a non-homogeneous trend over the surface of the wafer. More particularly, in DIW higher PRE and damage are noticed towards the edge of the wafer. In NMP, the opposite trend was observed. However, an equivalent performance was obtained at a lower sonic power in case of NMP compared to DIW. Further understanding of megasonic cleaning in solvents, and an optimization of the process parameters are the key to improve the performance of megasonic cleaning in organic solvents like NMP.


IEEE Transactions on Electron Devices | 2017

The Improvement of Subthreshold Slope and Transconductance of p-Type Bulk Si Field-Effect Transistors by Solid-Source Doping

Yoshiaki Kikuchi; T. Chiarella; David De Roest; K. Kenis; Patrick Ong; Naoto Horiguchi

As dimension of bulk Si field-effect transistors scales down, novel techniques for impurity profile design at channel area are required because suppression of short-channel effects and improvement of on-state current is tradeoff in conventional ion implantation process. In this paper, we demonstrate p-type bulk Si fin field-effect transistors by using solid-source doping for better impurity profile in fin to weaken the tradeoff between suppression of short-channel effects and improvement of on-state current. In this paper, impurity profiles in fin with two different kinds of anneal conditions after fin revelation (1000 °C 30 s and 1050 °C spike) are analyzed, and the better impurity profile at channel area is designed by 1000 °C 30-s anneal for better electrical characteristics. The anneal condition with the better impurity profile in fin showsmobility improvement at long gate length (


Developments in Surface Contamination and Cleaning#R##N#Fundamentals and Applied Aspects | 2008

A detailed study of semiconductor wafer drying

Wim Fyen; Frank Holsteyns; Twan Bearda; S. Arnauts; Jan Van Steenbergen; Geert Doumen; K. Kenis; Paul Mertens

1~mu text{m}


Developments in Surface Contamination and Cleaning (Second Edition)#R##N#Volume 1: Fundamentals and Applied Aspects | 2008

Chapter 19 – A Detailed Study of Semiconductor Wafer Drying

Wim Fyen; Frank Holsteyns; Twan Bearda; S. Arnauts; Jan Van Steenbergen; Geert Doumen; K. Kenis; Paul Mertens

) and short gate lengths (60, 70, and 80 nm); subthreshold slope and transconductance are improved at the same time. With those results, we conclude that a fabrication process flow of p-type bulk Si fin field-effect transistors to weaken the tradeoff between suppression of short-channel effects and improvement of on-state current is established with 1000 °C 30-s anneal after fin revelation by using solid-source doping. At the same time, electrical characteristics variation is also suppressed in the case of 1000 °C 30-s anneal.


Journal of Crystal Growth | 2011

Smooth and high quality epitaxial strained Ge grown on SiGe strain relaxed buffers with 70–85% Ge

Roger Loo; Laurent Souriau; Patrick Ong; K. Kenis; Jens Rip; Peter Storck; Thomas Buschhardt; Martin Vorderwestner

Publisher Summary In this chapter, the performance of several drying techniques commonly used in the semiconductor manufacturing industry is evaluated. This is done by measuring the residues on a wafer onto which a solution containing metal salts acting as tracer elements has been dispensed and dried. To correctly interpret the experimental data, the results are compared with predictions from a theoretical model. This model assumes two distinct mechanisms for deposition: adsorption and evaporative deposition. The first mechanism is a result of attractive interactions between the contaminant and the wafer surface, while the second mechanism is due to liquid evaporation during drying. For the latter case, the evaporated film thickness is introduced as a figure of merit for the drying process under study. In the tests, tests, spin drying was compared with two types of Marangoni based drying: on a vertically moving wafer and on a horizontally rotating wafer. The results show that for spin drying two consecutive phases occur: during the first seconds of spinning convective removal of liquid is the dominant mechanism, followed by a phase where evaporation takes over. This behavior is confirmed by models reported in the literature describing photo-resist coating. The amount of liquid evaporating during spin drying is inversely proportional to the square root of the rotation speed. This suggests that entrainment of liquid by the gas flow over the wafer surface is the dominant mechanism for evaporation. This finding is in agreement with fluid dynamics models describing the flow of gas entrained with a rotating substrate.


Microelectronic Engineering | 1999

Advanced cleaning for the growth of ultrathin gate oxide

Paul Mertens; Twan Bearda; Michel Houssa; Lee M. Loewenstein; Ingrid Cornelissen; S. De Gendt; K. Kenis; I. Terrlinck; Rita Vos; Marc Meuris; Marc Heyns

In this chapter, the performance of several drying techniques commonly used in the semiconductor manufacturing industry is evaluated. This is done by measuring the residues on a wafer onto which a solution containing metal salts acting as tracer elements has been dispensed and dried. To correctly interpret the experimental data, the results are compared with predictions from a theoretical model. This model assumes two distinct mechanisms for deposition: adsorption and evaporative deposition. The first mechanism is a result of attractive interactions between the contaminant and the wafer surface, while the second mechanism is due to liquid evaporation during drying. For the latter case, the evaporated film thickness is introduced as a figure of merit for the drying process under study. In the tests, spin drying was compared with two types of Marangoni based drying: on a vertically moving wafer and on a horizontally rotating wafer. The results show that for spin drying two consecutive phases occur: during the first seconds of spinning convective removal of liquid is the dominant mechanism, followed by a phase where evaporation takes over. This behavior is confirmed by models reported in the literature describing photo-resist coating. The amount of liquid evaporating during spin drying is inversely proportional to the square root of the rotation speed. This suggests that entrainment of liquid by the gas flow over the wafer surface is the dominant mechanism for evaporation. This finding is in agreement with fluid dynamics models describing the flow of gas entrained with a rotating substrate.


MRS Proceedings | 1997

Silicon Surface Metal Contamination Measurements using Grazing-Emission XRF Spectrometry

S. De Gendt; K. Kenis; M. Baeyens; Paul Mertens; Marc Heyns; G. Wiener; S. J. Kidd; D. M. Knotter; P.K. de Bokx

Collaboration


Dive into the K. Kenis's collaboration.

Top Co-Authors

Avatar

Paul Mertens

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

S. De Gendt

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Twan Bearda

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Frank Holsteyns

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Marc Heyns

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

S. Arnauts

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Atsuro Eitoku

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Francesca Barbagini

Katholieke Universiteit Leuven

View shared research outputs
Researchain Logo
Decentralizing Knowledge