Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kathleen Nafus is active.

Publication


Featured researches published by Kathleen Nafus.


Journal of Micro-nanolithography Mems and Moems | 2012

Implementation of a chemo-epitaxy flow for directed self-assembly on 300-mm wafer processing equipment

Paulina A. Rincon Delgadillo; Roel Gronheid; Christopher J. Thode; Hengpeng Wu; Yi Cao; Mark Neisser; Mark Somervell; Kathleen Nafus; Paul F. Nealey

Abstract. The implementation of our previously reported chemo-epitaxy method for directed self-assembly (DSA) of block copolymers (BCPs) on 300-mm wafers is described in detail. Some challenges to be addressed include edge bead removal control of the layers forming the exposure stack and uniformity of the deposited films across the wafer. With the fine tuning of the process conditions, this flow provides chemically nanopatterned substrates with well-defined geometry and chemistry. After a film of BCP is annealed on the chemical patterns, high degrees of perfection are achieved. A BCP with natural periodicity of 25 nm was assembled on100-nm pitch prepatterns, obtaining 4X feature multiplication. Top-down scanning electron microscope images show a wide process window with depth of focus >200  nm and exposure latitude >40% for lines and spaces of 12.5-nm half-pitch. We provide a platform for future study of the origin of DSA generated defects and their relationship to process conditions and materials that are amenable to use by the semiconductor industry.


Proceedings of SPIE | 2012

All track directed self-assembly of block copolymers: process flow and origin of defects

Paulina A. Rincon Delgadillo; Roel Gronheid; Christopher J. Thode; Hengpeng Wu; Yi Cao; Mark Somervell; Kathleen Nafus; Paul F. Nealey

Directed Self-Assembly (DSA) of block copolymers is considered to be a potential lithographic solution to achieve higher feature densities than can be obtained by current lithographic techniques. However, it is still not well-established how amenable DSA of block copolymers is to an industrial fabrication environment in terms of defectivity and processing conditions. Beyond production-related challenges, precise manipulation of the geometrical and chemical properties over the substrate is essential to achieve high pattern fidelity upon the self-assembly process. Using our chemo-epitaxy DSA approach offers control over the surface properties of the slightly preferential brush material as well as those of the guiding structures. This allows for a detailed assessment of the critical material parameters for defect reduction. The precise control of environment afforded by industrial equipment allows for the selective analysis of material and process related boundary conditions and assessment of their effect on defect generation. In this study, the previously reported implementation of our feature multiplication process was used to investigate the origin of defects in terms of the geometry of the initial pre-patterns. Additionally, programmed defects were used to investigate the ability of the BCP to heal defects in the resist patterns and will aid to assess the capture capability of the inspection tool. Finally, the set-up of the infrastructure that will allow the study the generation of defects due to the interaction of the BCP with the boundary conditions has been accomplished at imec.


Proceedings of SPIE | 2012

Comparison of directed self-assembly integrations

Mark Somervell; Roel Gronheid; Joshua S. Hooge; Kathleen Nafus; Paulina A. Rincon Delgadillo; Chris Thode; Todd R. Younkin; Koichi Matsunaga; Ben Rathsack; Steven Scheer; Paul F. Nealey

Directed Self-Assembly (DSA) is gaining momentum as a means for extending optical lithography past its current limits. There are many forms of the technology, and it can be used for creating both line/space and hole patterns.1-3 As with any new technology, adoption of DSA faces several key challenges. These include creation of a new materials infrastructure, fabrication of new processing hardware, and the development of implementable integrations. Above all else, determining the lowest possible defect density remains the industrys most critical concern. Over the past year, our team, working at IMEC, has explored various integrations for making 12-14nm half-pitch line/space arrays. Both grapho- and chemo-epitaxy implementations have been investigated in order to discern which offers the best path to high volume manufacturing. This paper will discuss the manufacturing readiness of the various implementations by comparing the process margin for different DSA processing steps and defect density for the entirety of the flow. As part of this work, we will describe our method for using programmed defectivity on reticle to elucidate the mechanisms that drive self-assembly defectivity on wafer.


Journal of Micro-nanolithography Mems and Moems | 2012

Frequency multiplication of lamellar phase block copolymers with grapho-epitaxy directed self-assembly sensitivity to prepattern

Roel Gronheid; Paulina A. Rincon Delgadillo; Ivan Pollentier; Paul F. Nealey; Todd R. Younkin; Mark Somervell; Joshua S. Hooge; Kathleen Nafus

Abstract. The optimization of a grapho-epitaxy process flow for lamellar phase block copolymer frequency multiplication on full 300 mm wafers is discussed. The process uses a dedicated photoresist that, after hardening, allows direct coating and annealing of the block copolymer over it. Some of the critical parameters for optimization of this process were found to be the selection of the neutral layer material and reduction of the prepattern resist height. Process window analysis was done by determining the best dose and focus settings for generating high quality directed self-assembly structures with the prepattern process. A very small process window for good self-assembly and an offset in the optimum dose and focus settings for these two stages of the process were found. Finally, the sensitivity of the process to programmed prepattern imperfections was studied. Programmed protrusions in the prepattern as small as 6 nm were found to cause self-assembly defects.


Proceedings of SPIE | 2009

Advances and Challenges in Dual-Tone Development Process Optimization

Carlos Fonseca; Mark Somervell; Steven Scheer; Wallace P. Printz; Kathleen Nafus; Shinichi Hatakeyama; Yuhei Kuwahara; Takafumi Niwa; Sophie Bernard; Roel Gronheid

The ever-shrinking circuit device dimensions challenge lithographers to explore viable patterning for the 32 nm halfpitch node and beyond. Significant improvements in immersion lithography have allowed extension of optical lithography down to 45 nm node and likely into early 32 nm node development. In the absence of single-exposure patterning solutions, double patterning techniques are likely to extend immersion lithography for 32 nm node manufacturing. While several double patterning techniques have been proposed as viable manufacturing solutions, cost, along with technical capability, will dictate which candidate is adopted by the industry. Dual-tone development (DTD) has been proposed as a potential cost-effective double patterning technique.1 Dual-tone development was reported as early as in the late 1990s by Asano.2 The basic principle of dual-tone imaging involves processing exposed resist latent images in both positive tone (aqueous base) and negative tone (organic solvent) developers. Conceptually, DTD has attractive cost benefits since it enables pitch doubling without the need for multiple etch steps of patterned resist layers. While the concept for DTD technique is simple to understand, there are many challenges that must be overcome and understood in order to make it a manufacturing solution. This work presents recent advances and challenges associated with DTD. Experimental results in conjunction with simulations are used to understand and advance learning for DTD. Experimental results suggest that clever processing on the wafer track can be used to enable DTD beyond 45 nm half-pitch dimensions for a given resist process. Recent experimental results also show that DTD is capable of printing <0.25 k1-factor features with an ArF immersion scanner. Simulation results showing co-optimization of process variables, illumination conditions, and mask properties are presented.


Journal of Micro-nanolithography Mems and Moems | 2015

Defect mitigation and root cause studies in 14 nm half-pitch chemo-epitaxy directed self-assembly LiNe flow

Hari Pathangi; Boon Teik Chan; Hareen Bayana; Nadia Vandenbroeck; Dieter Van den Heuvel; Lieve Van Look; Paulina Rincon-Delgadillo; Yi Cao; Jihoon Kim; Guanyang Lin; Doni Parnell; Kathleen Nafus; Ryota Harukawa; Ito Chikashi; Marco Polli; Lucia D’Urzo; Roel Gronheid; Paul F. Nealey

Abstract. High-defect density in thermodynamics driven directed self-assembly (DSA) flows has been a major cause of concern for a while and several questions have been raised about the relevance of DSA in high-volume manufacturing. The major questions raised in this regard are: (1) What is the intrinsic level of DSA-induced defects? (2) Can we isolate the DSA-induced defects from the other processes-induced defects? (3) How much do the DSA materials contribute to the final defectivity and can this be controlled? (4) How can we understand the root causes of the DSA-induced defects and their kinetics of annihilation? (5) Can we have block copolymer anneal durations that are compatible with standard CMOS fabrication techniques (in the range of minutes) with low-defect levels? We address these important questions and identify the issues and the level of control needed to achieve a stable DSA defect performance.


Proceedings of SPIE | 2013

Advances in directed self assembly integration and manufacturability at 300 mm

Benjamen M. Rathsack; Mark Somervell; Makato Muramatsu; Keiji Tanouchi; Takahiro Kitano; Eiichi Nishimura; Koichi Yatsuda; Seiji Nagahara; Hiroyuki Iwaki; Keiji Akai; Mariko Ozawa; Ainhoa Romo Negreira; Shigeru Tahara; Kathleen Nafus

Directed self-assembly (DSA) has the potential to extend scaling for both line/space and hole patterns. DSA has shown the capability for pitch reduction (multiplication), hole shrinks, CD self-healing as well as a pathway towards LWR and pattern collapse improvement [1-10]. TEL has developed a DSA development ecosystem (collaboration with customers, consortia, inspection vendors and material suppliers) to successfully demonstrate directed PS-PMMA DSA patterns using chemo-epitaxy (lift-off and etch guide) and grapho-epitaxy integrations on 300 mm wafers. New processes are being developed to simplify process integration, to reduce defects and to address design integration challenges with the long term goal of robust manufacturability. For hole DSA applications, a wet development process has been developed that enables traditional post-develop metrology through the high selectivity removal of PMMA cylindrical cores. For line/ space DSA applications, new track, cleans and etch processes have been developed to improve manufacturability. In collaboration with universities and consortia, fundamental process studies and simulations are used to drive process improvement and defect investigation. To extend DSA resolution beyond a PS-PMMA system, high chi materials and processes are also explored. In this paper, TEL’s latest process solutions for both hole and line/space DSA process integrations are presented.


Journal of Micro-nanolithography Mems and Moems | 2011

Resolution–linewidth roughness–sensitivity performance tradeoffs for an extreme ultraviolet polymer bound photo-acid generator resist

Roel Gronheid; Alessandro Vaglio Pret; Benjamen M. Rathsack; Joshua S. Hooge; Steven Scheer; Kathleen Nafus; Hideo Shite; Junichi Kitano

The goal of this work is to use a combination of experiment and calibrated resist models to understand the impact of photo-acid generator (PAG) and sensitizer loading on the performance of a polymer bound PAG resist based processes for extreme ultraviolet (EUV) lithography. This paper describes construction of a chemically amplified resist model across 248 nm, 193 nm, and EUV imaging wavelengths. Using resist absorbance input as obtained from experiment and modeling, only the acid formation kinetics are allowed to vary across imaging wavelengths. This constraining system affords very good fitting results, which provides high confidence that the extracted parameters from the model have actual physical significance. The quantum efficiency for acid formation in EUV is found to be ∼8× higher than at 248 or 193 nm, due to the excitation mechanism by secondary electrons. Most notably for the polymer bound PAG system under study the model provides an extremely low acid diffusion length (∼8 nm), suggesting an excellent inherent resolution for this material. Next, resist models are created for a series of sensitizer containing polymer bound PAG formulations, where the sensitizer loading is systematically varied. Compared to the reference polymer bound PAG resist without sensitizer the efficiency of acid formation is significantly increased, without a negative impact on either resolution or linewidth roughness. For these materials the quantum efficiency of acid formation in EUV is found to be ∼12× higher than at 248 nm. In these formulations the impact of sensitizer loading on the sizing dose is found to be rather moderate. This may suggest that even at the lowest sensitizer loading studied the energy of the secondary electrons is already efficiently transferred to the PAGs.


Proceedings of SPIE | 2013

Rectification of EUV-patterned contact holes using directed self-assembly

Roel Gronheid; Arjun Singh; Todd R. Younkin; Paulina Rincon Delgadillo; Paul F. Nealey; Boon Teik Chan; Kathleen Nafus; Ainhoa Romo Negreira; Mark Somervell

One critical problem with EUV patterning is the local CD variation of contact holes. The issue is especially problematic for patterning of sub-30nm hole dimensions. Although the EUV wavelength enables resolution of fine contact patterns, shot noise effects (both chemical and optical) result in high levels of CD non-uniformity. Directed self-assembly (DSA) offers the possibility of rectifying this non-uniformity. Since the resulting CD in this patterning approach is typically dictated by the polymer size, application of this technology in conjunction with an EUV-defined pre-pattern can theoretically improve the local CD uniformity. Integration approaches using both chemo- and grapho-epitaxy integration may be used to achieve DSA enabled uniformity improvement. The drawbacks and benefits of both approaches will be discussed. Finally, these types of DSA flows also enable frequency multiplication to achieve dense arrays from an initially sparse pattern. In this study, we will report on a variety of schemes to attain rectification and frequency multiplication.


Proceedings of SPIE | 2013

Process sensitivities in exemplary chemo-epitaxy directed self-assembly integration

Paulina Rincon Delgadillo; Roel Gronheid; Guanyang Lin; Yi Cao; Ainhoa Romo; Mark Somervell; Kathleen Nafus; Paul F. Nealey

Directed Self Assembly (DSA) using block copolymers (BCP) has received considerable attention over the past few years as a potential complementary lithographic technique. While many are focused on adapting DSA integrations to high volume manufacturing, the key to the technology’s success lies in its ability to generate low defect patterns. The best way to drive the technology toward a zero defect solution is to understand the fundamentals of the block copolymer assembly, the interactions of the block copolymer with the underlying chemical pattern, and the evaluation of process parameters to obtain a high degree of order of the BCP morphologies. To this end, recent research has investigated numerous material, structural, and process sensitivities of an exemplary chemo-epitaxy line/space integration. Using the DSA flow implemented at imec, substrate properties, such as the geometry and chemistry, were studied and provided the first results regarding the dimensions of the nano-patterns and the energetic conditions necessary to obtain good alignment of the BCP. Additional parameters that have been explored include BCP film thickness and the bake conditions used to execute various steps of the flow. With this work, the key parameters that drive the assembly process have been identified. This will allow the definition of an optimized process window and materials for defect minimization.

Collaboration


Dive into the Kathleen Nafus's collaboration.

Top Co-Authors

Avatar

Roel Gronheid

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

S. Biesemans

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Steven Scheer

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge