Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Joshua S. Hooge is active.

Publication


Featured researches published by Joshua S. Hooge.


Proceedings of SPIE | 2012

Comparison of directed self-assembly integrations

Mark Somervell; Roel Gronheid; Joshua S. Hooge; Kathleen Nafus; Paulina A. Rincon Delgadillo; Chris Thode; Todd R. Younkin; Koichi Matsunaga; Ben Rathsack; Steven Scheer; Paul F. Nealey

Directed Self-Assembly (DSA) is gaining momentum as a means for extending optical lithography past its current limits. There are many forms of the technology, and it can be used for creating both line/space and hole patterns.1-3 As with any new technology, adoption of DSA faces several key challenges. These include creation of a new materials infrastructure, fabrication of new processing hardware, and the development of implementable integrations. Above all else, determining the lowest possible defect density remains the industrys most critical concern. Over the past year, our team, working at IMEC, has explored various integrations for making 12-14nm half-pitch line/space arrays. Both grapho- and chemo-epitaxy implementations have been investigated in order to discern which offers the best path to high volume manufacturing. This paper will discuss the manufacturing readiness of the various implementations by comparing the process margin for different DSA processing steps and defect density for the entirety of the flow. As part of this work, we will describe our method for using programmed defectivity on reticle to elucidate the mechanisms that drive self-assembly defectivity on wafer.


Journal of Micro-nanolithography Mems and Moems | 2012

Frequency multiplication of lamellar phase block copolymers with grapho-epitaxy directed self-assembly sensitivity to prepattern

Roel Gronheid; Paulina A. Rincon Delgadillo; Ivan Pollentier; Paul F. Nealey; Todd R. Younkin; Mark Somervell; Joshua S. Hooge; Kathleen Nafus

Abstract. The optimization of a grapho-epitaxy process flow for lamellar phase block copolymer frequency multiplication on full 300 mm wafers is discussed. The process uses a dedicated photoresist that, after hardening, allows direct coating and annealing of the block copolymer over it. Some of the critical parameters for optimization of this process were found to be the selection of the neutral layer material and reduction of the prepattern resist height. Process window analysis was done by determining the best dose and focus settings for generating high quality directed self-assembly structures with the prepattern process. A very small process window for good self-assembly and an offset in the optimum dose and focus settings for these two stages of the process were found. Finally, the sensitivity of the process to programmed prepattern imperfections was studied. Programmed protrusions in the prepattern as small as 6 nm were found to cause self-assembly defects.


Journal of Micro-nanolithography Mems and Moems | 2011

Resolution–linewidth roughness–sensitivity performance tradeoffs for an extreme ultraviolet polymer bound photo-acid generator resist

Roel Gronheid; Alessandro Vaglio Pret; Benjamen M. Rathsack; Joshua S. Hooge; Steven Scheer; Kathleen Nafus; Hideo Shite; Junichi Kitano

The goal of this work is to use a combination of experiment and calibrated resist models to understand the impact of photo-acid generator (PAG) and sensitizer loading on the performance of a polymer bound PAG resist based processes for extreme ultraviolet (EUV) lithography. This paper describes construction of a chemically amplified resist model across 248 nm, 193 nm, and EUV imaging wavelengths. Using resist absorbance input as obtained from experiment and modeling, only the acid formation kinetics are allowed to vary across imaging wavelengths. This constraining system affords very good fitting results, which provides high confidence that the extracted parameters from the model have actual physical significance. The quantum efficiency for acid formation in EUV is found to be ∼8× higher than at 248 or 193 nm, due to the excitation mechanism by secondary electrons. Most notably for the polymer bound PAG system under study the model provides an extremely low acid diffusion length (∼8 nm), suggesting an excellent inherent resolution for this material. Next, resist models are created for a series of sensitizer containing polymer bound PAG formulations, where the sensitizer loading is systematically varied. Compared to the reference polymer bound PAG resist without sensitizer the efficiency of acid formation is significantly increased, without a negative impact on either resolution or linewidth roughness. For these materials the quantum efficiency of acid formation in EUV is found to be ∼12× higher than at 248 nm. In these formulations the impact of sensitizer loading on the sizing dose is found to be rather moderate. This may suggest that even at the lowest sensitizer loading studied the energy of the secondary electrons is already efficiently transferred to the PAGs.


Proceedings of SPIE | 2010

EUV RLS performance tradeoffs for a polymer bound PAG resist

Roel Gronheid; Alessandro Vaglio Pret; Benjamen M. Rathsack; Joshua S. Hooge; Steven Scheer; Kathleen Nafus; Hideo Shite; Junichi Kitano

This paper describes construction of a chemically amplified resist model across 248nm, 193nm and EUV imaging wavelengths. Using resist absorbance input as obtained from experiment and modeling, only the acid formation kinetics are allowed to vary across imaging wavelengths. This very constraining system affords very good fitting results, which provides high confidence that the extracted parameters from the model have actual physical significance. The quantum efficiency for acid formation in EUV is found to be ~8X higher than at 248 or 193nm, due to the excitation mechanism by secondary electrons. Most notably for the polymer bound PAG system under study the model provides an extremely low acid diffusion length (~7nm), suggesting an excellent inherent resolution for this material. Next, resist models are created for a series of sensitizer containing polymer bound PAG formulations, where the sensitizer loading is systematically varied. Compared to the reference polymer bound PAG resist without sensitizer the efficiency of acid formation is significantly increased, without a negative impact on either resolution or line width roughness. For the materials the quantum efficiency of acid formation in EUV is found to be ~12X higher than at 248nm. In these formulations the impact of sensitizer loading on the sizing dose is found to be rather moderate. This may suggest that even at the lowest sensitizer loading studied the energy of the secondary electrons is already efficiently transferred to the PAGs.


Journal of Micro-nanolithography Mems and Moems | 2011

Extreme-ultraviolet secondary electron blur at the 22-nm half pitch node

Roel Gronheid; Todd R. Younkin; Michael J. Leeson; Carlos Fonseca; Joshua S. Hooge; Kathleen Nafus; John J. Biafore; Mark D. Smith

In this paper the Arrhenius behavior of blur upon extreme ultraviolet (EUV) exposure is investigated through variation of the post-exposure bake (PEB) temperature. In this way, thermally activated parameters that contribute to blur (such as acid/base diffusion) can be separated from nonthermally activated parameters (such as secondary electron blur). The experimental results are analyzed in detail using multiwavelength resist modeling based on the continuum approach and through fitting of the EUV data using stochastic resist models. The extracted blur kinetics display perfectly linear Arrhenius behavior, indicating that there is no sign for secondary electron blur at 22-nm half pitch. At the lowest PEB setting the total blur length is ∼4 nm, indicating that secondary electron blur should be well below that. The stochastic resist model gives a best fit to the current data set with parameters that result in a maximum probability of acid generation at 2.4 nm from the photon absorption site. Extrapolation of the model predicts that towards the 16-nm half pitch the impact on sizing dose is minimal and an acceptable exposure latitude is achievable. In order to limit the impact on linewidth roughness at these dimensions it will be required to control acid diffusion to ∼5 nm.


Proceedings of SPIE | 2011

EUV secondary electron blur at the 22nm half pitch node

Roel Gronheid; Todd R. Younkin; Michael J. Leeson; Carlos Fonseca; Joshua S. Hooge; Kathleen Nafus; John J. Biafore; Mark D. Smith

In this paper the Arrhenius behavior of blur upon EUV exposure is investigated through variation of the PEB temperature. In this way, thermally activated parameters that contribute to blur (such as acid/base diffusion) can be separated from non-thermally activated parameters (such as secondary electron blur). The experimental results are analyzed in detail using multi-wavelength resist modeling based on the continuum approach and through fitting of the EUV data using stochastic resist models. The extracted blur kinetics display perfectly linear Arrhenius behavior, indicating that there is no sign for secondary electron blur at 22nm half pitch. At the lowest PEB setting the total blur length is ~4nm, indicating that secondary electron blur should be well below that. The stochastic resist model gives a best fit to the current data set with parameters that result in a maximum probability of acid generation at 2.4nm from the photon absorption site. Extrapolation of the model predicts that towards the 16nm half pitch the impact on sizing dose is minimal and an acceptable exposure latitude is achievable. In order to limit the impact on line width roughness at these dimensions it will be required to control acid diffusion to ~5nm.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Defectivity reduction by optimization of 193-nm immersion lithography using an interfaced exposure-track system

Michael A. Carcasi; Shinichi Hatakeyama; Kathleen Nafus; Richard Moerman; Youri van Dommelen; Peter Huisman; Joshua S. Hooge; Steven Scheer; Philippe Foubert

As the integration of semiconductor devices continues, pattern sizes required in lithography get smaller and smaller. To achieve even more scaling down of these patterns without changing the basic infrastructure technology of current cutting-edge 193-nm lithography, 193-nm immersion lithography is being viewed as a powerful technique that can accommodate next-generation mass productions needs. Therefore this technology has been seriously considered and after proof of concept it is currently entering the stage of practical application. In the case of 193-nm immersion lithography, however, because liquid fills the area between the projection optics and the silicon wafer, several causes of concern have been raised - namely, diffusion of moisture into the resist film due to direct resist-water interaction during exposure, dissolution of internal components of the resist into the de-ionized water, and the influence of residual moisture generated during exposure on post-exposure processing. To prevent these unwanted effects, optimization of the three main components of the lithography system: materials, track and scanner, is required. For the materials, 193nm resist formulation improvements specifically for immersion processing have reduced the leaching and the sensitivity to water related defects, further benefits can be seen by the application of protective top coat materials. For the track component, optimization of the processing conditions and immersion specific modules are proven to advance the progress made by the material suppliers. Finally, by optimizing conditions on the 3rd generation immersion scanner with the latest hardware configuration, defectivity levels comparable to dry processing can be achieved. In this evaluation, we detail the improvements that can be realized with new immersion specific track rinse modules and formulate a hypothesis for the improvements seen with the rinsing process. Additionally, we show the current status of water induced immersion specific defect reduction using the latest advances in technology.


Archive | 2015

Chemical Amplification Methods and Techniques for Developable Bottom Anti-reflective Coatings and Dyed Implant Resists

Steven Scheer; Michael A. Carcasi; Benjamen M. Rathsack; Mark Somervell; Joshua S. Hooge


Archive | 2015

Methods and Techniques to use with Photosensitized Chemically Amplified Resist Chemicals and Processes

Michael A. Carcasi; Joshua S. Hooge; Benjamen M. Rathsack; Seiji Nagahara


Archive | 2015

METROLOGY FOR MEASUREMENT OF PHOTOSENSITIZER CONCENTRATION WITHIN PHOTO-SENSITIZED CHEMICALLY-AMPLIFIED RESIST (PS-CAR)

Michael A. Carcasi; Mark H. Somervell; Joshua S. Hooge; Benjamen M. Rathsack; Seiji Nagahara

Collaboration


Dive into the Joshua S. Hooge's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Roel Gronheid

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Steven Scheer

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Benjamen M. Rathsack

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Benjamen M. Rathsack

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge