Katsuya Hayano
Dai Nippon Printing
Network
Latest external collaboration on country level. Dive into details by clicking on the dots.
Publication
Featured researches published by Katsuya Hayano.
Photomask Japan 2015: Photomask and Next-Generation Lithography Mask Technology XXII | 2015
Takashi Adachi; Ayako Tani; Yukihiro Fujimura; Shingo Yoshikawa; Katsuya Hayano; Yasutaka Morikawa; Yoichi Miura; Hiroyuki Miyashita
The retardation of the development of NGL techniques causes the extension of ArF immersion lithography for 1x-nm node. We have been researching the new phase shift masks (PSM) material for the next generation ArF lithography. In this reports, we developed the low-k, high transmission PSM and evaluate it. The developed new PSM shows good lithographic performance in wafer and high ArF excimer laser durability. The mask processability were confirmed such as the CD performance, the cross section image, the inspection sensitivity and repair accuracy.
Proceedings of SPIE, the International Society for Optical Engineering | 2010
Seiji Nagahara; Kazuyoshi Kawahara; Hiroshi Yamazaki; Akihiko Ando; Masayuki Naganuma; Kazuyuki Yoshimochi; Takayuki Uchiyama; Ken Nakashima; Hidemichi Imai; Katsuya Hayano; Hidekazu Migita; Eiji Tsujimoto
This paper tries to clarify the requirements for Source-Mask co-Optimization (SMO) type complex masks for low k1 technology nodes using a dedicated test mask. The current status of mask CD requirements and inspection capability for Free Form (FF) SRAFs which give wider process window are discussed by comparing with Rectangular Shape (RS) SRAFs. From CD deviation analysis with CD bias change at both main and SRAF patterns, the importance of CD control at entire SRAF is emphasized although the partial lack of SRAF seems to give less impact on the main pattern lithography performance. It is also suggested that SRAF printability of FF-SRAF needs to be carefully controlled with mask bias error consideration. To identify the defects which give impact on litho performance, simulation-based defect printability prediction (M-LMC) using inspection images is evaluated and found to be an important enabler for complex mask inspection. The simulation-image based defect analysis helps to reduce the nuisance defects, and greatly saves analysis time of measurement on Aerial Image Measurement System (AIMSTM). To introduce the complex free form mask into production, mask-writing shot-count reduction is also evaluated. It is shown that fragmentation using Model- Based (MB) Mask Data Preparation (MDP) effectively reduces the mask writing shot counts with using overlapping of the patterns.
Proceedings of SPIE, the International Society for Optical Engineering | 2007
F. Foussadier; Frank Sundermann; Anthony Vacca; Jim Wiley; George Chen; Tadahiro Takigawa; Katsuya Hayano; Syougo Narukawa; Satoshi Kawashima; Hiroshi Mohri; Naoya Hayashi; Hiroyuki Miyashita; Yorick Trouiller; F. Robert; Florent Vautrin; G. Kerrien; Jonathan Planchot; Catherine Martinelli; Jean-Luc Di-Maria; Vincent Farys
One of the most critical points for accurate OPC is to have accurate models that properly simulate the full process from the mask fractured data to the etched remaining structures on the wafer. In advanced technology nodes, the CD error budget becomes so tight that it is becoming critical to improve modeling accuracy. Current technology models used for OPC generation and verification are mostly composed of an optical model, a resist model and sometimes an etch model. The mask contribution is nominally accounted for in the optical and resist portions of these models. Mask processing has become ever more complex throughout the years so properly modeling this portion of the process has the potential to improve the overall modeling accuracy. Also, measuring and tracking individual mask parameters such as CD bias can potentially improve wafer yields by detecting hotspots caused by individual mask characteristics. In this paper, we will show results of a new approach that incorporates mask process modeling. We will also show results of testing a new dynamic mask bias application used during OPC verification.
Photomask Technology 2015 | 2015
Shingo Yoshikawa; Nobuaki Fujii; Koichi Kanno; Hidemichi Imai; Katsuya Hayano; Hiroyuki Miyashita; Soichi Shida; Tsutomu Murakawa; Masayuki Kuribara; Jun Matsumoto; Takayuki Nakamura; Shohei Matsushita; Daisuke Hara; Linyong Pang
The 1Xnm technology node lithography is using SMO-ILT, NTD or more complex pattern. Therefore in mask defect inspection, defect verification becomes more difficult because many nuisance defects are detected in aggressive mask feature. One key Technology of mask manufacture is defect verification to use aerial image simulator or other printability simulation. AIMS™ Technology is excellent correlation for the wafer and standards tool for defect verification however it is difficult for verification over hundred numbers or more. We reported capability of defect verification based on lithography simulation with a SEM system that architecture and software is excellent correlation for simple line and space.[1] In this paper, we use a SEM system for the next generation combined with a lithography simulation tool for SMO-ILT, NTD and other complex pattern lithography. Furthermore we will use three dimension (3D) lithography simulation based on Multi Vision Metrology SEM system. Finally, we will confirm the performance of the 2D and 3D lithography simulation based on SEM system for a photomask verification.
Photomask Japan 2015: Photomask and Next-Generation Lithography Mask Technology XXII | 2015
Shingo Yoshikawa; Nobuaki Fujii; Koichi Kanno; Hidemichi Imai; Katsuya Hayano; Hiroyuki Miyashita; Soichi Shida; Tsutomu Murakawa; Masayuki Kuribara; Jun Matsumoto; Takayuki Nakamura; Shohei Matsushita; Daisuke Hara; Linyong Pang
In a Photomask manufacturing process, mask defect inspection is an increasingly important topic for 193nm optical lithography. Further extension of 193nm optical lithography to the next technology nodes, staying at a maximum numerical aperture (NA) of 1.35, pushes lithography to its utmost limits. This extension from technologies like ILT and SMO requires more complex mask patterns. In mask defect inspection, defect verification becomes more difficult because many nuisance defects are detected in aggressive mask features. One of the solutions is lithography simulation like AIMS. An issue with AIMS, however, is the low throughput of measurement, analysis etc.
Photomask and Next-Generation Lithography Mask Technology XXI | 2014
Takashi Adachi; Ayako Tani; Katsuya Hayano; Hideyoshi Takamizawa
In this report, we compared the lithographic performances between the conventional positive tone development (PTD) process and the negative tone development (NTD) process, using the lithography simulation. We selected the MoSi-binary mask and conventional 6% attenuated phase shift mask as mask materials. The lithographic performance was evaluated and compared after applying the optical proximity correction (OPC). The evaluation items of lithographic performance were the aerial image profile, the aerial image contrast, normalized image log slope (NILS), mask error enhancement factor (MEEF), and the bossung curves, etc. The designs for the evaluation were selected the simple contact hole and the metal layer sample design.
Photomask and Next-Generation Lithography Mask Technology XXI | 2014
Masaharu Nishiguchi; Kouichi Kanno; Katsuya Hayano; Hideyoshi Takamizawa; Kana Ohara; Donghwan Son; Vikram Tolani
AIMS™ is mainly used in photomask industry for verifying the impact of mask defects on wafer CD in DUV lithography process. AIMS verification is used for D2D configuration, where two AIMS images, reference and defect, are captured and compared. Criticality of defects is identified using a number of criteria. As photomasks with aggressive OPC and sub-resolution assist features (SRAFs) are manufactured in production environment, it is required to save time for identifying reference pattern and capturing the AIMS image from the mask. If it is a single die mask, such technology is truly not applicable. A solution is to use AIMS die-to-database (D2DB) methodology which compares AIMS defect image with simulated reference image from mask design data. In general, simulation needs calibration with AIMS images. Because there is the difference between an AIMS image except a defect and a reference image, the difference must be compensated. When it is successfully compensated, AIMS D2DB doesn’t need any reference images, but requires some AIMS images for calibration. Our approach to AIMS D2DB without calibration image is systematic comparison of several AIMS images and to fix optical condition parameters for reducing calibration time. And we tried to calibrate using defect AIMS image to this approach. In this paper, we discuss performance of AIMS D2DB simulation without calibration images.
Photomask and Next-Generation Lithography Mask Technology XVIII | 2011
Hiroshi Watanabe; Kei Mesuda; Katsuya Hayano; Eiji Tsujimoto; Hideyoshi Takamizawa; Toshio Ohhashi; Naruo Sakasai; Shintaro Kudo; Tomoyuki Matsuyama
To improve lithography performance, resolution enhancement technique (RET) such as source mask optimization (SMO) will be applied to 22 nm node and beyond. We examine if lithography performance is improved by altering mask 3D topography. In this paper, we report that we have confirmed what topography is effective for lithography performance improvement in the dense region of 22nm technology node. Since shadowing effect is strong at the dense region, we focus on sidewall angle that decreases shadowing effect. As a basic analysis, we evaluate maximum exposure latitude (EL) and mask error enhancement factor (MEEF) of mask 3D topographic patterns that have various sidewall angles by 3D rigorous simulator. This result shows the increasing of maximum exposure latitude when changing sidewall angle. As a next step, we fabricate a test mask which has optimized sidewall angle and the exposure is performed on NA1.30 immersion scanner (Nikon NSR-S610C). Then we compare wafer printing results and simulation results. These results induce that the optimization of mask 3D topography has a potential to improve lithographic performance.
Photomask and Next-Generation Lithography Mask Technology XVIII | 2011
Kei Mesuda; Hiroshi Watanabe; Katsuya Hayano; Eiji Tsujimoto; Hideyoshi Takamizawa; Toshio Ohhashi; Naruo Sakasai; Shintaro Kudo; Tomoyuki Matsuyama
In this study, we investigate what kind of mask blank material is optimum for the resolution enhancement techniques (RET) of leading-edge ArF lithography. The source mask optimization (SMO) is one of the promising RET in 2Xnm-node and it optimizes mask pattern and illumination intensity distribution simultaneously. We combine SMO with the blank material optimization and explore the truly optimized SMO. This study consists of three phases. In the first phase, we evaluate maximum exposure latitude (Max.E.L.) and mask error enhancement factor (MEEF) of fictitious materials that have typical real (n) and imaginary (k) value of refractive index by 3D rigorous simulator as the basic analysis. The simulation result shows that there are two high lithographic performance combinations of n and k values; one is low-n/high-k and the other is high-n/low-k. In the second phase, we select actual blank material that has similar optical parameters with the result of the previous phase. The lithographic performance of the selected material is investigated more precisely. We find that the candidate material has good lithographic performance at the semi-dense pitch. In the final phase, we create a test mask of this candidate blank material and verify simulation result by experimental assessment. The exposures are performed on NA1.30 immersion scanner (Nikon NSR-S610C). The experimental result shows the improvement of Max.E.L. in head to head type pattern. This study will discuss the potential of blank material tuning for the ArF lithography extension.
Proceedings of SPIE | 2009
Hiroaki Mito; Katsuya Hayano; Tatsuya Maeda; Hiroshi Mohri; Hidetoshi Sato; Ryoichi Matsuoka; Shigeki Sukegawa
OPC technique is getting more complicated toward 32nm and below technology node, i.e. from moderate OPC to aggressive OPC. Also, various types of phase shift mask have been introduced, and then the manufacturing process of them is complicated now. In order to shorten TAT (Turn around time) time, mask technique need be considered in addition to lithography technique. Furthermore, the lens aberration of the exposure system is getting smaller, so the current performance of it is very close to the ideal. On the other hand, when down sizing goes down to 32nm technology node, it starts to be reported that there are cases that size cannot be matched between a mask pattern and the corresponding printed pattern. Therefore, it is very indispensable to understand the pattern sizes correlation between a mask and the corresponding printed wafer in order to improve the accuracy and the quality, in the situation that the device size is so small that low k1 lithography had been developed and widely used in a production. Then it is thought that it is one of the approaches to improve an estimated accuracy of lithography by using contour that was extracted from mask SEM image in addition to mask model. This paper describes a newly developed integration system in order to solve issues above, and the applications. This is a system which integrates CG4500; CD-SEM for mask and CG4000; CD SEM for wafer; using DesignGauge; OPC evaluation system by Hitachi High-Technologies. It was investigated that a measurement accuracy improvement by executing a mask-wafer same point measurement with same measurement algorithm utilizing the new system. At first, we measured patterns described on a mask and verified the validity based on a measurement value, picture, measurement parameter and the coordinate. Then create a job file for a wafer CD-SEM using the system so as to measure the same patterns that were exposed using the mask. In addition, average CD measurement was tried in order to improve the correlation. Photomask Technology 2009, edited by Larry S. Zurbrick, M. Warren Montgomery, Proc. of SPIE Vol. 7488, 748832 ·