Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Hiroshi Mohri is active.

Publication


Featured researches published by Hiroshi Mohri.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

Process development for EUV mask production

Tsukasa Abe; Akiko Fujii; Shiho Sasaki; Hiroshi Mohri; Naoya Hayashi; Tsutomu Shoki; Takeyuki Yamada; Osamu Nozawa; Ryo Ohkubo; Masao Ushida

Absorber layer patterning process for low reflectivity tantalum boron nitride (LR-TaBN) absorber layer and chromium nitride (CrN) buffer layer were improved to satisfy high resolution pattern and high level critical dimension (CD) control. To make 100nm and smaller pattern size, under 300nm resist thickness was needed because of resist pattern collapse issue. We developed absorber layer dry etching process for 300nm thickness resist. Absorber layer patterning was done by a consequence of carbon fluoride gas process and chlorine gas process. We evaluated both gas processes and made clear each dry etching character. Sufficient resist selectivity, vertical side wall, good CD control and low buffer layer damage were obtained. Then, we evaluated how buffer layer dry etching affects EUV reflectivity. Finally, we evaluated EUV mask pattern defect inspection and defect repair. Sufficient contrast of mask pattern image and good repair result were obtained using DUV inspection tool and AFM nano-machining tool, respectively.


Proceedings of SPIE | 2008

Decomposition difficulty analysis for double patterning and the impact on photomask manufacturability

Yuichi Inazuki; Nobuhito Toyama; Takaharu Nagai; Takanori Sutou; Yasutaka Morikawa; Hiroshi Mohri; Naoya Hayashi; Martin Drapeau; Kevin Lucas; Chris Cork

Double patterning technology (DPT) is one of the most practical candidate technologies for 45nm half-pitch or beyond while conventional single exposure (SE) is still dominant with hyper NA avoiding DPT difficulties such as split-conflict or overlay issue. However small target dimension with hyper NA and strong illumination causes OPC difficulty and small latitude of lithography and photomask fabricated with much tight specification are required for SE. Then there must be double patterning (DP) approach even for SE available resolution. In this paper DP for SE available resolution is evaluated on lithography performance, pattern decomposition, photomask fabrication and inspection load. DP includes pattern pitch doubled of SE, then lithography condition such as mask error enhancement factor (MEEF) is less impacted and the lower MEEF means less tight specification for photomask fabrication. By using Synopsys DPT software, there are no software-induced conflicts and stitching is treated to be less impact. And also this software detects split-conflicts such as triangle or square placement from contact spacing. For estimating photomask inspection load, programmed defect pattern and circuit pattern on binary mask are prepared. Smaller MEEF leads less impact to defect printing which is confirmed with AIMS evaluation. As an inspection result, there are few differences of defect sensitivity for only dense features and also few differences of false defect counts between SE and DP with less NA. But if higher NA used, DPs inspection sensitivity is able to be lowered Then inspection load for DP would be lighter than SE.


Proceedings of SPIE | 2011

Demonstration of defect free EUV mask for 22nm NAND flash contact layer using electron beam inspection system

Takeya Shimomura; Satoshi Kawashima; Yuichi Inazuki; Tsukasa Abe; Tadahiko Takikawa; Hiroshi Mohri; Naoya Hayashi; Fei Wang; Long Eric Ma; Yan Zhao; Chiyan Kuan; Hong Xiao; Jack Jau

Fabrication of defect free EUV masks including their inspection is the most critical challenge for implementing EUV lithography into semiconductor high volume manufacturing (HVM) beyond 22nm half-pitch (HP) node. The contact to bit-line (CB) layers of NAND flash devices are the most likely the first lithography layers that EUV will be employed for manufacturing due to the aggressive scaling and the difficulty for making the pattern with the current ArF lithography. To assure the defect free EUV mask, we have evaluated electron beam inspection (EBI) system eXplore™ 5200 developed by Hermes Microvision, Inc. (HMI) [1]. As one knows, the main issue of EBI system is the low throughput. To solve this challenge, a function called Lightning Scan™ mode has been recently developed and installed in the system, which allows the system to only inspect the pattern areas while ignoring blanket areas, thus dramatically reduced the overhead time and enable us to inspect CB layers of NAND Flash device with much higher throughput. In this present work, we compared the Lightning scan mode with Normal scan mode on sensitivity and throughput. We found out the Lightning scan mode can improve throughput by a factor of 10 without any sacrifices of sensitivity. Furthermore, using the Lightning scan mode, we demonstrated the possibility to fabricate the defect free EUV masks with moderate inspection time.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

EUV-mask pattern inspection using current DUV reticle inspection tool

Tsukasa Abe; Akiko Fujii; Shiho Sasaki; Hiroshi Mohri; Hidemichi Imai; Hironobu Takaya; Yasushi Sato; Naoya Hayashi; Yumiko Maenaka

EUV mask pattern inspection was investigated using current DUV reticle inspection tool. Designed defect pattern of 65nm node and 45nm node were prepared. We compared inspection sensitivity between before buffer etch pattern and after buffer etch pattern, and between die to die mode and die to database mode. Inspection sensitivity difference was not observed between before buffer etch pattern and after buffer etch pattern. In addition to defect inspection, wafer print simulation of program defect was investigated. Simulation results were compared to inspection result. We confirmed current DUV reticle inspection tool has potential for EUV mask defect inspection.


24th Annual BACUS Symposium on Photomask Technology | 2004

Evaluation of dry etching and defect repair of EUVL mask absorber layer

Tsukasa Abe; Masaharu Nishiguchi; Tsuyoshi Amano; Toshiaki Motonaga; Shiho Sasaki; Hiroshi Mohri; Naoya Hayashi; Yuusuke Tanaka; Iwao Nishiyama

EUVL mask process of absorber layer, buffer layer dry etching and defect repair were evaluated. TaGeN and Cr were selected for absorber layer and buffer layer, respectively. These absorber layer and buffer layer were coated on 6025 Qz substrate. Two dry etching processes were evaluated for absorber layer etching. One is CF4 plasma process and the other is Cl2 plasma process. Etch bias uniformity, selectivity, cross section profile and resist damage were evaluated for each process. Disadvantage of CF4 plasma process is low resist selectivity and Cl2 plasma process is low Cr selectivity. CF4 plasma process caused small absorber layer damage on isolate line and Cl2 plasma process caused Cr buffer layer damage. To minimize these damages overetch time was evaluated. Buffer layer process was also evaluated. Buffer layer process causes capping layer damage. Therefore, etching time was optimized. FIB-GAE and AFM machining were applied for absorber layer repair test. XeF2 gas was used for FIB-GAE. Good selectivity between absorber layer and buffer layer was obtained using XeF2 gas. However, XeF2 gas causes side etching of TaGeN layer. AFM machining repair technique was demonstrated for TaGeN layer repair.


Proceedings of SPIE | 2007

Pattern decomposition for double patterning from photomask viewpoint

Nobuhito Toyama; Takashi Adachi; Yuichi Inazuki; Takanori Sutou; Yasutaka Morikawa; Hiroshi Mohri; Naoya Hayashi

Double Patterning Technology (DPT) has been evaluated and reported since 32nm half pitch is recognized to be required with conventional immersion ArF lithography. DPT requires pattern decomposition into two pattern sets and the decomposition becomes more complex for especially so-called logic pattern including irregular pattern placement and many-vertices polygons. The innocent decomposition often creates forced segmentation of those polygons and two different aspect of photomasks such as density or substantial line direction. Those decomposed photomasks not only produce large possibilities of different error behavior but also leave annoyance complexity untouched. It is well known that line-ends and dense twisted lines produce large MEF. Then tighter specification for photomask fabrication have been required since the resolution limit was getting below the exposure wavelength. So the decomposition that creates tight patterns into separate two photomasks has possibilities of the fabrication load lighter. In this paper, the decomposition of criteria for DPT which helps photomask fabrication with a small possibilities is evaluated and discussed. Furthermore though its getting to popular that overlay and CD uniformity of photomasks for DPT impact to completed CD with wafer exposure directly, considering other errors such as CD shift or phase error which are supposed to recover by exposure in addition to those errors are also studied.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

PROGRESS OF NIL TEMPLATE MAKING

Satoshi Yusa; Takaaki Hiraka; Ayumi Kobiki; Shiho Sasaki; Kimio Itoh; Nobuhito Toyama; Masaaki Kurihara; Hiroshi Mohri; Naoya Hayashi

Nano-imprint lithography (NIL) has been counted as one of the lithography candidates for hp32nm node and beyond and has showed excellent resolution capability with remarkable low line edge roughness that is attracting many researchers in the industry who were searching for the finest patterning technology. Therefore, recently we have been focusing on the resolution improvement on the NIL templates with the 100keV acceleration voltage spot beam (SB) EB writer and the 50keV acceleration voltage variable shaped beam (VSB) EB writer. The 100keV SB writers have high resolution capability, but they show fatally low throughput if we need full chip writing. Usually templates for resolution pioneers needed just a small field (several hundred microns square or so), but recently requirements for full chip templates are increasing. For full chip writing, we have also started the resolution improvement with the 50keV VSB writers used in current 4X photomask manufacturing. The 50keV VSB writers could generate full chip pattern in a reasonable time though resolution limits are inferior to that with the 100keV SB writers. In this paper, we will show latest results with both the 100keV SB and the 50keV VSB EB writers. With the 100keV SB EB writer, we have achieved down to hp15nm resolution for line and space pattern, but found that to achieve further improvement, an innovation in pattern generation method or material would be inevitable. With the 50keV VSB EB writer, we have achieved down to hp22nm resolution for line and space pattern. Though NIL has excellent resolution capability, solutions for defect inspection and repair are not clearly shown yet. In this paper, we will show preliminary inspection results with an EB inspection tool. We tested an EB inspection tool by Hermes Microvision, Inc. (HMI), which was originally developed for and are currently used as a wafer inspection tool, and now have been started to seek the application for mask use, using a programmed defect template.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

In-field CD uniformity control by altering transmission distribution of the photomask, using Ultra fast pulsed laser technology

Yasutaka Morikawa; Takanori Sutou; Yuichi Inazuki; Takashi Adachi; Yuuichi Yoshida; Kouichirou Kojima; Shiho Sasaki; Hiroshi Mohri; Naoya Hayashi; Vladimir Dmitriev; Sergey Oshemkov; Eitan Zait; Guy Ben-Zvi

As pattern feature sizes on the wafer become smaller and smaller, requirements for CD variation control has become a critical issue. In order to correct CD uniformity on the wafer, the DUV light transmission distribution of the photomask was altered using an ultra-fast pulsed laser technology. By creating a small scattering pixel inside the quartz body of the mask, a multitude of such points creates Shading Elements inside the quartz according to a predetermined CD variations distribution map. These Shading Elements reduce the dose of scanners laser illumination onto the wafer per a local area. Thus by changing the local light intensity, inside the exposure field, to a required level during the photolithographic process the wafer CD is changed locally inside the field. This complete process of writing a multitude of Shading Elements inside the mask in order to control the light transmission and hence wafer level CD locally is called the CD Control (CDC) process. We have evaluated the tool utilizing Ultra fast laser pulses (CDC 101) for local transmission and CD controllability on the wafer. We used Binary and Att-PSM test masks and three kinds of test patterns to confirm the sensitivity of transmission and CD change by the attenuation levels of Shading Elements which is sequentially changed from 0% to 10%. We will compare the AIMS results to printed CD on wafer or simulation results, so that we can correlate the transmission change and CD change by the attenuation levels. This paper also reports the CD uniformity correction performances by using attenuation mapping method on Binary mask. We also cover how Shading Elements affect the phase and transmission on the Att-PSM.


Photomask and next-generation lithography mask technology. Conference | 2003

Development of attenuating PSM shifter for F2 and high-transmission ArF lithography

Osamu Nozawa; Yuki Shiota; Hideaki Mitsui; Toshiyuki Suzuki; Yasushi Ohkubo; Masao Ushida; Satoshi Yusa; Kenji Noguchi; Shiho Sasaki; Hiroshi Mohri; Naoya Hayashi

A new att-PSM shifter for both F2 and high-transmittance ArF lithography was developed. This shifter consists of SiON / TaHf in stacked layers. SiON for phase shift layer has a moderate transmittance and refractive index, and has sufficient laser durability. The TaHf film, which is a transmittance control layer, was effective as a functional layer in mask dry etching. Adopting the 3 step etching procedure, low damage of the quartz surface and less impact to CD shift was realized. It was confirmed that a new shifter has also sufficient feasibility to the mask inspection and repair process.


Photomask and X-Ray Mask Technology | 1994

Manufacturing of half-tone phase-shift masks I: blank

Hiroshi Mohri; Keiji Hashimoto; Takashi Tominaga; Yasutaka Morikawa; Junji Fujikawa; Hiroyuki Inomata; Yukio Iimura; Wataru Gotoh; Masahiro Takahashi; Hisatake Sano

Half-tone phase shift mask (HT-PSM) blanks for i-line (365 nm) and g-line (436 nm) lithography, using chromium composites as a half-tone shifter, are brought into production. A bilayer structure of a 10 - 20 nm thick opaque, conductive chrome layer and a phase-shifting CrON layer is proposed, which can be formed by continuous deposition of the two layers and etched continuously by the process similar to that of the conventional chrome photomask. It shows low visible light transmission of less than 30% so that it can be inspected, and also shows enough conductivity to decay the excess charge during electron beam writing. HT- PSMs made of these blanks can be cleaned by sulfuric acid at 100 degree(s)C and can be used at least up to an irradiation of 1 MJ/cm2, when used for i-line exposure. The specification for the transmission is (target +/- 1)% for any point on any plate, and 0.7% range for any point on one plate, where the target ranges from 6% to 10%. The specification for the phase shift is currently (180+/- 10) degree(s).

Collaboration


Dive into the Hiroshi Mohri's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge