Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Keren J. Kanarik is active.

Publication


Featured researches published by Keren J. Kanarik.


Journal of Vacuum Science and Technology | 2015

Overview of atomic layer etching in the semiconductor industry

Keren J. Kanarik; Thorsten Lill; Eric Hudson; Saravanapriyan Sriraman; Samantha Tan; Jeffrey Marks; Vahid Vahedi; Richard A. Gottscho

Atomic layer etching (ALE) is a technique for removing thin layers of material using sequential reaction steps that are self-limiting. ALE has been studied in the laboratory for more than 25 years. Today, it is being driven by the semiconductor industry as an alternative to continuous etching and is viewed as an essential counterpart to atomic layer deposition. As we enter the era of atomic-scale dimensions, there is need to unify the ALE field through increased effectiveness of collaboration between academia and industry, and to help enable the transition from lab to fab. With this in mind, this article provides defining criteria for ALE, along with clarification of some of the terminology and assumptions of this field. To increase understanding of the process, the mechanistic understanding is described for the silicon ALE case study, including the advantages of plasma-assisted processing. A historical overview spanning more than 25 years is provided for silicon, as well as ALE studies on oxides, III–V c...


Journal of Vacuum Science and Technology | 2017

Predicting synergy in atomic layer etching

Keren J. Kanarik; Samantha Tan; Wenbing Yang; Taeseung Kim; Thorsten Lill; Alexander Kabansky; Eric Hudson; Tomihito Ohba; Kazuo Nojiri; Jengyi Yu; Rich Wise; Ivan L. Berry; Yang Pan; Jeffrey Marks; Richard A. Gottscho

Atomic layer etching (ALE) is a multistep process used today in manufacturing for removing ultrathin layers of material. In this article, the authors report on ALE of Si, Ge, C, W, GaN, and SiO2 using a directional (anisotropic) plasma-enhanced approach. The authors analyze these systems by defining an “ALE synergy” parameter which quantifies the degree to which a process approaches the ideal ALE regime. This parameter is inspired by the ion-neutral synergy concept introduced in the 1979 paper by Coburn and Winters [J. Appl. Phys. 50, 5 (1979)]. ALE synergy is related to the energetics of underlying surface interactions and is understood in terms of energy criteria for the energy barriers involved in the reactions. Synergistic behavior is observed for all of the systems studied, with each exhibiting behavior unique to the reactant–material combination. By systematically studying atomic layer etching of a group of materials, the authors show that ALE synergy scales with the surface binding energy of the bu...


Journal of Vacuum Science and Technology | 2017

Atomic layer etching of 3D structures in silicon: Self-limiting and nonideal reactions

Chad M. Huard; Yiting Zhang; Saravanapriyan Sriraman; Alex Paterson; Keren J. Kanarik; Mark J. Kushner

Current (and future) microelectronics fabrication requirements place unprecedented demands on the fidelity of plasma etching. As device features shrink to atomic dimensions, the plasma etching processes used to define these devices must resolve these scales. By separating etching processes into cycles of multiple, self-limited steps, different physics processes which are closely coupled in traditional plasma etching can be largely decoupled and separately optimized. This technique, atomic layer etching (ALE), can ideally remove uniform layers of material with consistent thickness in each cycle. ALE holds the promise of improving uniformity, reducing damage, increasing selectivity, and minimizing aspect ratio dependent etching (ARDE) rates. The practical implementation of ALE depends on how close to ideal the system can be operated and the tolerance to nonideal conditions. In this paper, results are discussed from a computational investigation of the consequences of nonidealities in the ALE of silicon usin...


Japanese Journal of Applied Physics | 2017

Atomic layer etching of GaN and AlGaN using directional plasma-enhanced approach

Tomihito Ohba; Wenbing Yang; Samantha Tan; Keren J. Kanarik; Kazuo Nojiri

The directional atomic layer etching (ALE) of GaN and AlGaN has been developed. The GaN ALE process consists of cyclic Cl2 plasma chemisorption and Ar ion removal. The etch per cycle (EPC) was 0.4 nm within the self-limiting regime, which is 50 to 100 V. The root-mean-square surface roughness R RMS was 0.6 nm, which was improved from an initial roughness of 0.8 nm. For AlGaN ALE, BCl3 was added to the chlorine step to obtain a smooth surface with R RMS of 0.3 nm and stoichiometry similar to the initial sample. The ultra smooth surface obtained by etching is promising for use in next-generation power devices.


Journal of Vacuum Science and Technology | 2018

Applying sputtering theory to directional atomic layer etching

Ivan L. Berry; Keren J. Kanarik; Thorsten Lill; Samantha Tan; Vahid Vahedi; Richard A. Gottscho

Plasma assisted atomic layer etching (ALE) has recently been introduced into manufacturing of 10 nm logic devices. This implementation of ALE is called directional ALE because ions transfer momentum to the etching surface during the removal step. Plasma assisted directional ALE can be described as sputtering of a thin modified layer on the surface of the unmodified material. In this paper, the authors introduce a collision cascade based Monte Carlo model based on sputtering theory which has evolved for over 50 years [P. Sigmund, Thin Solid Films 520, 6031 (2012)]. To test the validity of this approach, calculated near threshold argon ion sputtering yields of silicon and chlorinated silicon are compared to published experimental data. The calculated ALE curve for Cl2/Ar ALE of tantalum is in good agreement with the experiment. The model was used to predict the presence of salient sputtering effects such as ion mass and impact angle dependence, as well as redeposition in directional ALE. Finally, the author...


Journal of Physical Chemistry Letters | 2018

Atomic Layer Etching: Rethinking the Art of Etch

Keren J. Kanarik; Samantha Tan; Richard A. Gottscho

Atomic layer etching (ALE) is the most advanced etching technique in production today. In this Perspective, we describe ALE in comparison to long-standing conventional etching techniques, relating it to the underlying principles behind the ancient art of etching. Once considered too slow, we show how leveraging plasma has made ALE a thousand times faster than earlier approaches. While Si is the case study ALE material, prospects are better for strongly bound materials such as C, Ta, W, and Ru. Among the ALE advantages discussed, we introduce an ALE benefit with potentially broad application-the ALE smoothing effect-in which the surface flattens. Finally, regarding its well-established counterpart of atomic layer deposition (ALD), we discuss the combination of ALE and ALD in tackling real world challenges at sub-10 nm technology nodes.


Proceedings of SPIE | 2017

Plasma-assisted thermal atomic layer etching of Al2O3

Andreas Fischer; Richard P. Janek; John D. Boniface; Thorsten Lill; Keren J. Kanarik; Yang Pan; Vahid Vahedi; Richard A. Gottscho

In this paper, we report on plasma assisted thermal Atomic Layer Etching (ALE) of Al2O3. The surface was modified via a fluorine containing plasma without bias power. The removal was accomplished by a thermal reaction step using tin-(II) acetylacetonate Sn(acac)2. After a few cycles, material removal stopped and growth of a Sn-containing layer was observed. Insertion of a hydrogen plasma step was found to remove the Sn layer and a continuous material removal of 0.5 Å/cycle was measured. The results show that plasma assistance can be used to realize thermal ALE of Al2O3. Specifically, plasma can be used both in the fluorination step and to keep the surface free from contaminations.


Proceedings of SPIE | 2015

Patterning in the era of atomic scale fidelity

Thorsten Lill; Samantha Tan; Keren J. Kanarik; Yoshie Kimura; Gowri Kamarthy; Meihua Shen; Vahid Vahedi; Jeffrey Marks; Richard A. Gottscho

Relentless scaling of advanced integrated devices drives feature dimensions towards values which can be expressed in small multiples of the lattice spacing of silicon. One of the consequences of dealing with features on such an atomic scale is that surface properties start to play an increasingly important role. To encompass both dimensional as well as compositional and structural control, we introduce the term “atomic scale fidelity.” In this paper, we will discuss the challenges as well as new solutions to achieve atomic scale fidelity for patterning etch processes. Fidelity of critical dimensions (CD) across the wafer is improved by means of the Hydra Uniformity System. Wafer, chip and feature level atomic scale fidelity such as etch rate uniformity, aspect ratio dependent etching (ARDE) /1/, selectivity and surface damage can be addressed with emerging atomic layer etching (ALE) approaches /2/.


Archive | 2006

Photoresist conditioning with hydrogen ramping

Keren J. Kanarik; Aaron Eppler


Archive | 2014

Mixed mode pulsing etching in plasma processing systems

Keren J. Kanarik

Collaboration


Dive into the Keren J. Kanarik's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge