Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Kouji Kakizaki is active.

Publication


Featured researches published by Kouji Kakizaki.


Proceedings of SPIE | 2010

First generation laser-produced plasma source system for HVM EUV lithography

Hakaru Mizoguchi; Tamotsu Abe; Yukio Watanabe; Takanobu Ishihara; Takeshi Ohta; Tsukasa Hori; Akihiko Kurosu; Hiroshi Komori; Kouji Kakizaki; Akira Sumitani; Osamu Wakabayashi; Hiroaki Nakarai; Junichi Fujimoto; Akira Endo

The 1st generation Laser-Produced Plasma source system ETS device for EUV lithography is under development. We report latest status of the device which consists of the original concepts (1) CO2 laser driven Sn plasma, (2) Hybrid CO2 laser system that is combination of high speed (>100kHz) short pulse oscillator and industrial cw-CO2, (3) Magnetic mitigation, and (4) Double pulse EUV plasma creation. Maximum burst on time power is 69W (100kHz, 0.7 mJ EUV power @ intermediate focus), laser-EUV conversion efficiency is 2.3%, duty cycle is 20% at maximum. Continuous operation time is so far up to 3 hours. Debris is efficiently suppressed by pre-pulse plasma formation and magnetic field mitigation system. Long-term performance is now under investigation. Also future plan is updated.


Proceedings of SPIE | 2011

100W 1st generation laser-produced plasma light source system for HVM EUV lithography

Hakaru Mizoguchi; Tamotsu Abe; Yukio Watanabe; Takanobu Ishihara; Takeshi Ohta; Tsukasa Hori; Tatsuya Yanagida; Hitoshi Nagano; Takayuki Yabu; Shinji Nagai; Georg Soumagne; Akihiko Kurosu; Krzysztof Nowak; Takashi Suganuma; Masato Moriya; Kouji Kakizaki; Akira Sumitani; Hidenobu Kameda; Hiroaki Nakarai; Junichi Fujimoto

We reported 1st generation Laser-Produced Plasma source system ETS device for EUV lithography one year ago1). In this paper we update performance status of the 1st generation system. We have improved the system further, maximum burst power is 104W (100kHz, 1 mJ EUV power @ intermediate focus), laser-EUV conversion efficiency is 2.5%. Also continuous operation time is so far up to 8 hours with 5% duty cycle is achieved. We have investigated EUV plasma creation scheme by small experimental device which is facilitated 10Hz operation (maximum). We have proposed double pulse method to create LPP plasma efficiently. This moment we found out 3.3% conversion efficiency operation condition. Based on the engineering data of ETS and small experimental device, now we are developing 2nd generation HVM source; GL200E. The device consists of the original concepts (1) CO2 laser driven Sn plasma, (2) Hybrid CO2 laser system that is combination of high speed (>100kHz) short pulse oscillator and industrial cw-CO2, (3) Magnetic mitigation, and (4) Double pulse EUV plasma creation. The preliminary data are introduced in this paper.


Optics Express | 2015

300-mW narrow-linewidth deep-ultraviolet light generation at 193 nm by frequency mixing between Yb-hybrid and Er-fiber lasers.

Hongwen Xuan; Zhigang Zhao; Hironori Igarashi; Shinji Ito; Kouji Kakizaki; Yohei Kobayashi

A narrow-linewidth, high average power deep-ultraviolet (DUV) coherent laser emitting at 193 nm is demonstrated by frequency mixing a Yb-hybrid laser with an Er-fiber laser. The Yb-hybrid laser consists of Yb-fiber lasers and an Yb:YAG amplifier. The average output power of the 193 nm laser is 310 mW at 6 kHz, which corresponds to a pulse energy of 51 μJ. To the best of our knowledge, this is the highest average power and pulse energy ever reported for a narrow-linewidth 193 nm light generated by a combination of solid-state and fiber lasers with frequency mixing. We believe this laser will be beneficial for the application of interference lithography by seeding an injection-locking ArF eximer laser.


Proceedings of SPIE, the International Society for Optical Engineering | 2006

High power injection lock 6kHz 60W laser for ArF dry/wet lithography

Hakaru Mizoguchi; Toyoharu Inoue; Junichi Fujimoto; Toru Suzuki; Takashi Matsunaga; S. Sakanishi; M. Kaminishi; Yukio Watanabe; Takanori Nakaike; M. Shinbori; Masaya Yoshino; T. Kawasuji; H. Nogawa; Hiroshi Umeda; H. Taniguchi; Youichi Sasaki; J. Kinoshita; Tamotsu Abe; Hirokazu Tanaka; Hideyuki Hayashi; Kenichi Miyao; M. Niwano; Akihiko Kurosu; Masanori Yashiro; Hitoshi Nagano; T. Igarashi; Toshio Mimura; Kouji Kakizaki

The 193-nm lithography is moving from the pre-production to the mass production phase and its target node is shifting from 90 nm to 65 nm. And the ArF-immersion (Wet) technology is spotlighted as the enabling technology for below 45nm node1)2). Since 1998 we have demonstrated 30W, 0.12pm, @157nm line narrowed light source for microlithography with Injection lock technology. The injection lock technology has advanced performances compared with MOPA (Master Oscillator Power Amplifier) technology, in efficiency, stability and spectral property. Based on this injection lock technology, we have successfully developed high power injection lock laser platform GigaTwin for 193nm lithography system GT40A (45W, 4000Hz, 11.25mJ) on Q4 20043)4). We have found solution for higher repetition rate up to 6kHz operation on the same platform as GT40A which is our original innovation named GT60A (Figure 1). In this paper, we will introduce this new GT60A (6kHz 60W) laser.


Proceedings of SPIE | 2009

Laser-produced plasma source development for EUV lithography

Akira Endo; Hiroshi Komori; Yoshifumi Ueno; Krzysztof Nowak; Yabu Takayuki; Yanagida Tatsuya; Takashi Suganuma; Takeshi Asayama; Hiroshi Someya; Hideo Hoshino; Masaki Nakano; Masato Moriya; Toshihiro Nishisaka; Tamotsu Abe; Akira Sumitani; Hitoshi Nagano; Youichi Sasaki; Shinji Nagai; Yukio Watanabe; Georg Soumagne; Takanobu Ishihara; Osamu Wakabayashi; Kouji Kakizaki; Hakaru Mizoguchi

We are developing a laser produced plasma light source for high volume manufacturing (HVM) EUV lithography. The light source is based on a high power, high repetition rate CO2 laser system, a tin droplet target and a magnetic plasma guiding for collector mirror protection. This approach enables cost-effective high-conversion efficiency and EUV power scaling. The laser system is a master oscillator power amplifier (MOPA) configuration. We have achieved a maximum average laser output power of more than 10 kW at 100 kHz and 20 ns pulse by a single laser beam with good beam quality. EUV in-band power and out-of-band characteristics are measuring with high power CO2 laser and Sn droplet target configuration. This light source is scalable to more than 200 W EUV in-band power based on a 20-kW CO2 laser. Collector mirror life can be extended by using droplet target and magnetic plasma guiding. Effectiveness of the magnetic plasma guiding is examined by monitoring the motion of fast Sn ion in a large vacuum chamber. The ion flux from a Sn plasma was confined along the magnetic axis with a maximum magnetic flux density of 2 T.


Proceedings of SPIE | 2008

High-power and high-energy stability injection lock laser light source for double exposure or double patterning ArF immersion lithography

Masaya Yoshino; Hiroaki Nakarai; Takeshi Ohta; Hitoshi Nagano; Hiroshi Umeda; Yasufumi Kawasuji; Toru Abe; Ryoichi Nohdomi; Toru Suzuki; Satoshi Tanaka; Yukio Watanabe; Taku Yamazaki; Shinji Nagai; Osamu Wakabayashi; Takashi Matsunaga; Kouji Kakizaki; Junichi Fujimoto; Hakaru Mizoguchi

ArF immersion technology is spotlighted as the enabling technology for below 45nm node. Recently, double exposure technology is also considered for below 32nm node. We have already released an injection lock ArF excimer laser with ultra-line narrowed and stabilized spectrum performance: GT61A (60W/6kHz/ 10mJ/0.35pm) to ArF immersion market in Q4 2006. The requirements are: i) higher power ii) lower cost of downtime for higher throughput iii) greater wavelength stability for improved overlay and iv) increased lifetimes for lower operation costs. We have developed high power and high energy stability injection lock ArF excimer laser for double patterning: GT62A (90W/6000Hz/15mJ/0.35pm) based on the technology of GT61A and the reliability of GigaTwin (GT) platform. A high power operation of 90W is realized by development of high durability optical elements. Durability of the new optics is at least 3 times as long as that of the conventional optics used in the GT61A. The energy stability is improved more than 1.5 times of performance in the GT61A by optimizing laser operational conditions of the power oscillator. This improvement is accomplished by extracting potential efficiency of injection lock characteristic. The lifetime of power oscillator, which is one of the major parts in cost of ownership, is maintained by using higher output of the power supply.


Optics Express | 2015

Single frequency, 5 ns, 200 μJ, 1553 nm fiber laser using silica based Er-doped fiber.

Zhigang Zhao; Hongwen Xuan; Hironori Igarashi; Shinji Ito; Kouji Kakizaki; Yohei Kobayashi

A 1553 nm Er-doped fiber master-oscillator-power-amplifier (MOPA) laser system providing pulses with a 6 kHz repetition rate, 5 ns duration, ~210 μJ energy, ~300 MHz linewidth, and with a near diffraction limited beam quality, was developed. A gain fiber as short as 0.7 m in length was utilized in order to relax the SBS effect. To the best of our knowledge, thus generated peak power of 40 kW is the highest one obtained from a single frequency Er-doped silica fiber laser. The pulse quality was verified by frequency conversion with a periodically poled lithium niobate nonlinear crystal (PPLN) for second harmonic generation. A pulse energy as high as ~100 μJ was achieved at 776.6 nm with a moderate incident energy of 133 μJ, indicating an energy conversion efficiency of 75%.


Proceedings of SPIE | 2011

Characterization and optimization of tin particle mitigation and EUV conversion efficiency in a laser produced plasma EUV light source

Tatsuya Yanagida; Hitoshi Nagano; Yasunori Wada; Takayuki Yabu; Shinji Nagai; Georg Soumagne; Tsukasa Hori; Kouji Kakizaki; Akira Sumitani; Junichi Fujimoto; Hakaru Mizoguchi; Akira Endo

A laser produced plasma (LPP) extreme ultraviolet (EUV) light source of 13.5 nm has been developed for next generation lithography. Sn plasma is an efficient generator of 13.5 nm EUV light. On the other hand, deposition of Sn particles which strongly affects EUV collector mirror lifetime is a critical issue for long-term stable operation of the high-power EUV light source. In this paper we describe about the optimization of tin debris mitigation with a compact EUV generation system. We observe almost all of Sn fragments generated after a pre-pulse irradiation are vaporized by a main CO2 pulse laser with a droplet of 20 μm in diameter. An EUV conversion efficiency (CE) of 3.4% at a maximum is obtained for the 20 μm droplet. These results indicate the debris mitigation can be achieved without degradation of the high EUV CE.


Proceedings of SPIE | 2013

Power up: 120 Watt injection-locked ArF excimer laser required for both multi-patterning and 450 mm wafer lithography

Takeshi Asayama; Youichi Sasaki; Takayuki Nagashima; Akihiko Kurosu; Hiroaki Tsushima; Takahito Kumazaki; Kouji Kakizaki; Takashi Matsunaga; Hakaru Mizoguchi

193nm ArF excimer lasers are widely used as light sources for the lithography process of semiconductor production. At first, ArF excimer lasers have been used in semiconductor productions at the 90nm node and recently ArF excimer lasers have begun to be used for the 32nm node, by the progress in the immersion technology and the double-patterning technology. Furthermore, considering current status of development of the lithography technology using a next-generation light source, or extreme ultraviolet (EUV) light source, the start of mass production with the next-generation light source is estimated to start from 2015. Therefore, there is a need for extension of 193nm immersion lithography technology. By using the multi-patterning and double-patterning technology, design rules below limit at single exposure is possible. However, throughput is reduced due to increased lithography processes. In order to improve a decrease in throughput, a high power ArF excimer laser and larger size wafer (450mm in diameter) is needed. We have developed a new high power laser with the concept of eco-friendly. In this paper, we will introduce technologies used for our latest ArF excimer laser having tunable output power between 90W and 120W and report its performance data.


Proceedings of SPIE | 2007

Reliable high power injection locked 6 kHz 60W laser for ArF immersion lithography

Takahito Kumazaki; Toru Suzuki; Satoshi Tanaka; Ryoichi Nohdomi; Masaya Yoshino; Shinichi Matsumoto; Yasufumi Kawasuji; Hiroshi Umeda; Hitoshi Nagano; Kouji Kakizaki; Hiroaki Nakarai; Takashi Matsunaga; Junichi Fujimoto; Hakaru Mizoguchi

Reliable high power 193nm ArF light source is desired for the successive growth of ArF-immersion technology for 45nm node generation. In 2006, Gigaphoton released GT60A, high power injection locked 6kHz/60W/0.5pm (E95) laser system, to meet the demands of semiconductor markets. In this paper, we report key technologies for reliable mass production GT laser systems and GT60A high durability performance test results up to 20 billion pulses.

Collaboration


Dive into the Kouji Kakizaki's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge