Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where L. Brunet is active.

Publication


Featured researches published by L. Brunet.


symposium on vlsi technology | 2015

3DVLSI with CoolCube process: An alternative path to scaling

Perrine Batude; C. Fenouillet-Beranger; L. Pasini; V. Lu; Fabien Deprat; L. Brunet; B. Sklenard; F. Piegas-Luce; M. Casse; B. Mathieu; Olivier Billoint; Gerald Cibrario; Ogun Turkyilmaz; Hossam Sarhan; Sebastien Thuries; L. Hutin; S. Sollier; J. Widiez; L. Hortemel; C. Tabone; M.-P. Samson; B. Previtali; N. Rambal; F. Ponthenier; J. Mazurier; R. Beneyton; M. Bidaud; E. Josse; E. Petitprez; Olivier Rozeau

3D VLSI with a CoolCube™ integration allows vertically stacking several layers of devices with a unique connecting via density above a million/mm2. This results in increased density with no extra cost associated to transistor scaling, while benefiting from gains in power and performance thanks to wire-length reduction. CoolCube™ technology leads to high performance top transistors with Thermal Budgets (TB) compatible with bottom MOSFET integrity. Key enablers are the dopant activation by Solid Phase Epitaxy (SPE) or nanosecond laser anneal, low temperature epitaxy, low k spacers and direct bonding. New data on the maximal TB bottom MOSFET can withstand (with high temperatures but short durations) offer new opportunities for top MOSFET process optimization.


ieee soi 3d subthreshold microelectronics technology unified conference | 2014

Monolithic 3D integration: A powerful alternative to classical 2D scaling

Maud Vinet; Perrine Batude; C. Fenouillet-Beranger; Fabien Clermidy; L. Brunet; Olivier Rozeau; Jm Hartmannn; Olivier Billoint; Gerald Cibrario; B. Previtali; C. Tabone; B. Sklenard; Ogun Turkyilmaz; F. Ponthenier; N. Rambal; M.-P. Samson; Fabien Deprat; V. Lu; L. Pasini; Sebastien Thuries; Hossam Sarhan; J-E. Michallet; O. Faynot

Monolithic or sequential 3D Integration is a powerful technological enabler for actual 3D IC design as the stacked layers can be connected at the transistor scale. This paper reviews the opportunities brought by M3DI and highlights the applications benefiting from this small 3D contact pitch. It also presents the technological challenges of this concept and offers a general overview of the potential solutions to obtain a high performance low temperature top transistor while keeping bottom MOSFET integrity.


international electron devices meeting | 2014

New insights on bottom layer thermal stability and laser annealing promises for high performance 3D VLSI

C. Fenouillet-Beranger; B. Mathieu; B. Previtali; M.-P. Samson; N. Rambal; V. Benevent; S. Kerdiles; J-P. Barnes; D. Barge; P. Besson; R. Kachtouli; M. Casse; X. Garros; A. Laurent; F. Nemouchi; K. Huet; I. Toque-Tresonne; D. Lafond; H. Dansas; F. Aussenac; G. Druais; P. Perreau; E. Richard; S. Chhun; E. Petitprez; N. Guillot; Fabien Deprat; L. Pasini; L. Brunet; V. Lu

For the first time the maximum thermal budget of in-situ doped source/drain State Of The Art (SOTA) FDSOI bottom MOSFET transistors is quantified to ensure transistors stability in Sequential 3D (CoolCube™) integration. We highlight no degradation of Ion/Ioff trade-off up to 550°C. Thanks to both metal gate work-function stability especially on short devices and silicide stability improvement, the top MOSFET temperature could be relaxed up to 500°C. Laser anneal is then considered as a promising candidate for junctions activation. Based on in-depth morphological and electrical characterizations it demonstrates very promising results for high performance Sequential 3D integration.


symposium on vlsi technology | 2016

First demonstration of a CMOS over CMOS 3D VLSI CoolCube™ integration on 300mm wafers

L. Brunet; Perrine Batude; C. Fenouillet-Beranger; P. Besombes; L. Hortemel; F. Ponthenier; B. Previtali; C. Tabone; A. Royer; C. Agraffeil; C. Euvrard-Colnat; A. Seignard; C. Morales; F. Fournel; L. Benaissa; T. Signamarcheix; P. Besson; M. Jourdan; R. Kachtouli; V. Benevent; J.-M. Hartmann; C. Comboroure; N. Allouti; N. Posseme; C. Vizioz; C. Arvet; S. Barnola; S. Kerdiles; L. Baud; L. Pasini

For the first time, a full 3D CMOS over CMOS CoolCube™ integration is demonstrated with a top level compatible with state of the art high performance FDSOI (Fully-Depleted Silicon On Insulator) process requirements such as High-k/metal gate or raised source and drain. Functional 3D inverters with either PMOS or NMOS on the top level are highlighted. Furthermore, Si layer transfer above a 28nm W Metal 1 level of an industrial short loop and the return in a front end environment is presented, confirming the industrial compatibility of CoolCube™ integration.


symposium on vlsi technology | 2016

High performance CMOS FDSOI devices activated at low temperature

L. Pasini; Perrine Batude; J. Lacord; M. Casse; B. Mathieu; Benoit Sklenard; F. Piegas Luce; J. Micout; Anthony Payet; F. Mazen; P. Besson; E. Ghegin; J. Borrel; R. Daubriac; Louis Hutin; D. Blachier; D. Barge; S. Chhun; V. Mazzocchi; A. Cros; J-P. Barnes; Z. Saghi; V. Delaye; N. Rambal; V. Lapras; J. Mazurier; O. Weber; F. Andrieu; L. Brunet; C. Fenouillet-Beranger

3D sequential integration requires top FETs processed with a low thermal budget (500-600°C). In this work, high performance low temperature FDSOI devices are obtained thanks to the adapted extension first architecture and the introduction of mobility boosters (pMOS: SiGe 27% channel / SiGe:B 35% RSD and nMOS: SiC:P RSD). This first demonstration of n and p extension first FDSOI devices shows that low temperature activated device can match the performance of a device with state-of-the-art high temperature process (above 1000°C).


symposium on vlsi technology | 2015

High performance low temperature activated devices and optimization guidelines for 3D VLSI integration of FD, TriGate, FinFET on insulator

L. Pasini; Perrine Batude; M. Casse; B. Mathieu; Benoit Sklenard; F. Piegas Luce; Shay Reboh; N. Bernier; C. Tabone; Olivier Rozeau; S. Martini; C. Fenouillet-Beranger; L. Brunet; G. Audoit; D. Lafond; F. Aussenac; F. Allain; G. Romano; S. Barraud; N. Rambal; V. Barral; L. Hutin; J.-M. Hartmann; P. Besson; S. Kerdiles; M. Haond; G. Ghibaudo; Maud Vinet

3D VLSI integration is a promising alternative path towards CMOS scalability. It requires Low Temperature (LT) processing (≤600°C) for top FET fabrication. In this work, record performance is demonstrated for LT TriGate and FDSOI devices using Solid Phase Epitaxy (SPE). Optimization guidelines for further performance improvement are given for FD, TriGate and FinFET on insulator with the constraint of 14nm node channel strain preservation. This work concludes that extension first process scheme (implantation before the raised source and drain epitaxy) is required for FDSOI and TriGate architectures.


ieee soi 3d subthreshold microelectronics technology unified conference | 2016

Recent advances in low temperature process in view of 3D VLSI integration

C. Fenouillet-Beranger; Perrine Batude; L. Brunet; V. Mazzocchi; C-M.V. Lu; Fabien Deprat; J. Micout; M.-P. Samson; B. Previtali; P. Besombes; N. Rambal; V. Lapras; F. Andrieu; Olivier Billoint; M. Brocard; Sebastien Thuries; Gerald Cibrario; P. Acosta-Alba; B. Mathieu; S. Kerdiles; F. Nemouchi; C. Arvet; P. Besson; V. Loup; R. Gassilloud; X. Garros; C. Leroux; V. Beugin; C. Guerin; D. Benoit

In this paper, the recent advances in low temperature process in view of 3D VLSI integration are reviewed. Thanks to the optimization of each low temperature process modules (dopant activation, gate stack, epitaxy, spacer deposition) and silicide stability improvement, the top layer thermal budget fabrication has been decreased in order to satisfy the requirements for 3D VLSI integration.


symposium on vlsi technology | 2017

Dense N over CMOS 6T SRAM cells using 3D Sequential Integration

C-M. V.; C. Fenouillet-Beranger; M. Brocard; Olivier Billoint; Gerald Cibrario; L. Brunet; X. Garros; C. Leroux; M. Casse; A. Laurent; A. Toffoli; G. Romano; R. Kies; R. Gassilloud; N. Rambal; V. Lapras; M.-P. Samson; C. Tallaron; C. Tabone; B. Previtali; D. Barge; A. Ayres; L. Pasini; P. Besombes; F. Andrieu; Perrine Batude; T. Skotnicki; M. Vinet

Stacking N over CMOS devices using 3D Sequential CoolCube™ Integration has been shown promising for the scaling of 6T SRAMs. By transposing one pass-gate and one pull-down NMOS to the top layer, a cell footprint reduction of 27% could be obtained, leading to a 3D vias density over 108/mm2 achievable. In addition, we presented N-type devices fabricated below 630°C yielding quasi-equivalent performances as high temperature ones while fulfilling the PBTI and hot-carrier effects reliability requirements, comforting the viability of N over CMOS approach.


symposium on vlsi technology | 2017

Key process steps for high performance and reliable 3D Sequential Integration

C.-M. V. Lu; Fabien Deprat; C. Fenouillet-Beranger; Perrine Batude; X. Garros; A. Tsiara; C. Leroux; R. Gassilloud; D. Nouguier; D. Ney; X. Federspiel; P. Besombes; A. Toffoli; G. Romano; N. Rambal; V. Delaye; D. Barge; M.-P. Samson; B. Previtali; C. Tabone; L. Pasini; L. Brunet; F. Andrieu; J. Micoud; T. Skotnicki; M. Vinet

This work provides breakthroughs in key technological modules for high performance and reliable 3D Sequential Integration with intermediate BEOL (iBEOL) in-between tiers. We demonstrate that (i) a high-quality solid phase epitaxy process is possible at 500°C, (ii) TiN native oxide removal prior to poly deposition leads to an improvement in gate stack reliability below 525°C and (iii) state-of-the-art SiOCH ULK in iBEOL is reliable up to 550°C 5h with W metal lines. A process integration is thus proposed to match the process windows of bottom layers (bottom FET and iBEOL) stability and top devices performance and reliability, opening perspectives for a wide range of applications and technologies using 3D Sequential Integration.


ieee soi 3d subthreshold microelectronics technology unified conference | 2016

Ns laser annealing for junction activation preserving inter-tier interconnections stability within a 3D sequential integration

C. Fenouillet-Beranger; P. Acosta-Alba; B. Mathieu; S. Kerdiles; M.-P. Samson; B. Previtali; N. Rambal; V. Lapras; F. Ibars; A. Roman; R. Kachtouli; P. Besson; J-P. Nieto; L. Pasini; L. Brunet; F. Aussenac; J.-M. Hartmann; F. Mazzamuto; I. Toque-Tresonne; K. Huet; Perrine Batude; M. Vinet

In this paper, the energy process window of nanosecond (ns) laser annealing for junctions activation has been determined for several dopants (As, P, BF2). The different recrystallization states observed when tuning laser energy density are explained by numerical simulations. Within these conditions, the laser impact on the thermal stability of ULK/copper inter-tiers interconnections has been evaluated for a 28nm node backend metal 1 design rules technology both from morphological and electrical perspectives. This study highlights the interest of ns laser anneal for CoolCube™ 3D integration.

Collaboration


Dive into the L. Brunet's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

V. Lu

STMicroelectronics

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge