Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Laurie Beu is active.

Publication


Featured researches published by Laurie Beu.


Journal of Vacuum Science and Technology | 2000

Inductively coupled, point-of-use plasma abatement of perfluorinated compounds and hydrofluorinated compounds from etch processes utilizing O2 and H2O as additive gases

Eric J. Tonnis; David B. Graves; Victor Vartanian; Laurie Beu; Tom Lii; Rusty Jewett

A current major environmental concern involves the emission of fluorinated compounds (FCs) used in semiconductor manufacturing for dielectric etching and plasma-assisted chamber cleaning processes in dielectric film chemical vapor deposition (CVD) systems. While progress has been made in reducing FC emissions from CVD tools using alternative chemistries and process optimization, the stringent demands of dielectric etch processes have limited emission reduction from this source. A promising strategy for reducing FC emissions from etch processes is point-of-use plasma abatement. In this approach, a high-density plasma is generated by a device installed downstream of the process chamber in the foreline that dissociates the FC effluent species and recombines the resulting fragments with additive gas fragments to create by-products that are either environmentally benign or scrubbable. In this work, the performance of an inductively coupled plasma abatement device is examined for the treatment of exhaust from a...


Journal of Vacuum Science and Technology | 1998

Use of 2H-heptafluoropropane, 1-iodoheptafluoropropane, and 2-iodoheptafluoropropane for a high aspect ratio via etch in a high density plasma etch tool

Simon Karecki; Laura Pruette; Rafael Reif; Laurie Beu; Terry Sparks; Victor Vartanian

An issue currently facing the semiconductor industry is the use and emission of perfluorocompounds (PFCs), gases which are believed to contribute to global warming, in a number of plasma processes used in integrated circuit manufacture, including wafer patterning. Several approaches to reducing emissions of these compounds are being considered, namely abatement, recapture/recovery, process optimization, as well as the development of alternative chemistries as PFC substitutes. The authors present here the results of an effort to test several alternatives in a silicon dioxide etch application (high aspect ratio via etch). 2H-heptafluoropropane, 1-iodoheptafluoropropane, and 2-iodoheptafluoropropane, were tested in an Applied Materials Centura 5300 high density plasma etch tool. Fourier transform infrared spectroscopy was used to analyze the process effluent. The process performance and emissions of the alternative etchants were compared to those of a standard chemistry on the Centura 5300 etch tool.


Journal of The Electrochemical Society | 1998

Use of Novel Hydrofluorocarbon and Iodofluorocarbon Chemistries for a High Aspect Ratio Via Etch in a High Density Plasma Etch Tool

Simon Karecki; Laura Pruette; Rafael Reif; Terry Sparks; Laurie Beu; Victor Vartanian

This paper presents the results of an effort to test several novel chemistries for use as replacements for perfluoro-compounds in dielectric etch processes. Chemistries belonging to the hydrofluorocarbon and iodofluorocarbon families, namely, 2H-heptafluoropropane (CF 3 -CFH-CF 3 ), iodotrifluoromethane (CF 3 I),1-iodoheptafluoropropane (CF 2 I-CF 2 -CF 3 ), and 2-iodoheptafluoropropane (CF 3 -CFI-CF 3 ), were tested in an Applied Materials Centura 5300 HDP etch tool, using a high aspect ratio silicon dioxide via etch application as the test vehicle. Designed experiment methodology was used in the evaluation. Effluent was analyzed using Fourier transform infrared spectroscopy and quadrupole mass spectrometry. The performance of the alternative etchants in a high aspect ratio via etch process was compared to that of a standard chemistry on the Centura 5300 etch tool. Significant reductions in global warming emissions, relative to a perfluorinated baseline process, were found to be attainable with the alternative chemistries.


Journal of The Electrochemical Society | 2001

Evaluation of Oxalyl Fluoride for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

Simon Karecki; Ritwik Chatterjee; Laura Pruette; Rafael Reif; Terry Sparks; Laurie Beu; Victor H. Vartanian; Konstantin Novoselov

The goal of the work presented in this article was to provide a preliminary screening for a novel fluorinated compound, oxalyl fluoride, C 2 O 2 F 2 (F-(C=O)-(C=O)-F), as a potential replacement for perfluorocompounds in dielectric etch applications. Both process and emissions data were collected and the results were compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C 2 F 6 ). In this evaluation, oxalyl fluoride produced very low quantities of global warming compounds under the conditions in which it was tested, as compared to the C 2 F 6 process A preliminary evaluation of the compounds process performance was also carried out. Patterned tetraethoxysilane-deposited silicon oxide masked with deep UV photoresist having 0.6, 0.45, and 0.35 μm via hole features was used as the test vehicle. Although C 2 O 2 F 2 was capable of etching silicon dioxide, low oxide etch rate and poor selectivity to the mask layer were observed. Finally, in addition to the experimental work performed, a set of ab initio quantum chemical calculations was undertaken to obtain enthalpies of dissociation for each of the bonds in the oxalyl fluoride molecule in order to better understand its dissociation pathways in plasma environments.


Japanese Journal of Applied Physics | 2000

Evaluation of Pentafluoroethane and 1,1-Difluoroethane for a Dielectric Etch Application in an Inductively Coupled Plasma Etch Tool

Simon Karecki; Ritwik Chatterjee; Laura Pruette; Rafael Reif; Terry Sparks; Laurie Beu; Victor Vartanian

In this work, a combination of two hydrofluorocarbon compounds, pentafluoroethane (FC-125, C2HF5) and 1,1-difluoroethane (FC-152a, CF2H–CH3), was evaluated as a potential replacement for perfluorocompounds in dielectric etch applications. A high aspect ratio oxide via etch was used as the test vehicle for this study, which was conducted in a commercial inductively coupled high density plasma etch tool. Both process and emissions data were collected and compared to those provided by a process utilizing a standard perfluorinated etch chemistry (C2F6). Global warming (CF4, C2F6, CHF3) and hygroscopic gas (HF, SiF4) emissions were characterized using Fourier transform infrared (FTIR) spectroscopy. FC-125/FC-152a was found to produce significant reductions in global warming emissions, on the order of 68 to 76% relative to the reference process. Although etch stopping, caused by a high degree of polymer deposition inside the etched features, was observed, process data otherwise appeared promising for an initial study, with good resist selectivity and etch rates being achieved.


Journal of Vacuum Science & Technology B | 2001

Characterization of iodoheptafluoropropane as a dielectric etchant. III. Effluent analysis

Simon Karecki; Ritwik Chatterjee; Laura Pruette; Rafael Reif; Victor H. Vartanian; Terry Sparks; Laurie Beu

The work presented in this article represents the third and final part of a series of articles which present a systematic evaluation of iodoheptafluoropropane (C3F7I) as a potential replacement for perfluorocompound chemistries in dielectric etch applications. In the experiments discussed in this series, 1- and 2-iodoheptafluoropropane based etch processes had been employed in a via etch application in an inductively coupled high density plasma etch tool. Part I of this article discusses etch process behavior of 1- and 2-iodoheptafluoropropane, while Part II examines films deposited by the 1-iodo isomer. This article will focus on the composition of the process effluent stream, as characterized by Fourier transform infrared (FTIR) spectroscopy. Data generated by both isomers of the compound will be presented and compared to those generated by conventional (C3F8- and C2F6-based) etch processes. Significant reductions in global warming emission (on the order of 80%–85%) were obtained relative to the convent...


Journal of Vacuum Science & Technology B | 2001

Characterization of iodoheptafluoropropane as a dielectric etchant. I. Process performance evaluation

Simon Karecki; Ritwik Chatterjee; Laura Pruette; Rafael Reif; Victor H. Vartanian; Terry Sparks; Laurie Beu; Konstantin Novoselov

1- and 2-iodoheptafluoropropane were characterized extensively as potential replacement etchants for perfluorocompounds used in an oxide etch application. In the present study, via holes of critical dimension down to 0.35 μm were etched in an inductively coupled high density plasma tool. Oxide etch rate, mask and stop layer selectivities, and feature profile were among the principal metrics used to evaluate the performance of these compounds. A conventional (C3F8-based) etch process was used as a reference. Process behavior as a function of a number of variables—namely source power, bias power, etch gas flow, additive gas CH3F) flow, roof, wall, and chiller temperatures, and pressure was studied. While good etch rates and feature profiles were obtained, mask and stop layer selectivity was found to be limited. As a supplement to the experimental work, a set of ab initio quantum chemical calculations was undertaken to obtain enthalpies of dissociation for each of the bonds in the iodoheptafluoropropane mole...


Journal of Vacuum Science & Technology B | 2001

Characterization of iodoheptafluoropropane as a dielectric etchant. II. Wafer surface analysis

Simon Karecki; Ritwik Chatterjee; Laura Pruette; Rafael Reif; Victor H. Vartanian; Terry Sparks; Jaegab Lee; Laurie Beu; Charles Miller

This article forms the second part of a three-part series which presents a systematic characterization of iodoheptafluoropropane (C3F7I) based oxide etch processes in an inductively coupled high density plasma etch tool. Parts I and III of the article discuss etch process behavior and effluent composition, respectively. The focus of this article is on the characterization of films deposited by 1-iodoheptafluoropropane (CF2I–CF2–CF3) processes using two techniques: X-ray photoelectron spectroscopy and time-of-flight secondary ion mass spectrometry. A significant result obtained in this study is that, while iodoheptafluoropropane has a greater tendency to polymerize than its perfluorinated counterpart, C3F8, because of its lower F:C ratio, the C3F7I etch process is driven, in large part, by physical mechanisms stemming from bombardment of the wafer surface by massive iodine ions. The mechanisms discussed in this article provide a consistent explanation that reconciles the highly polymerizing behavior of iodoheptafluoropropane with its relatively low mask and stop layer selectivity, as compared to a C3F8 based process in the same inductively coupled etch tool.This article forms the second part of a three-part series which presents a systematic characterization of iodoheptafluoropropane (C3F7I) based oxide etch processes in an inductively coupled high density plasma etch tool. Parts I and III of the article discuss etch process behavior and effluent composition, respectively. The focus of this article is on the characterization of films deposited by 1-iodoheptafluoropropane (CF2I–CF2–CF3) processes using two techniques: X-ray photoelectron spectroscopy and time-of-flight secondary ion mass spectrometry. A significant result obtained in this study is that, while iodoheptafluoropropane has a greater tendency to polymerize than its perfluorinated counterpart, C3F8, because of its lower F:C ratio, the C3F7I etch process is driven, in large part, by physical mechanisms stemming from bombardment of the wafer surface by massive iodine ions. The mechanisms discussed in this article provide a consistent explanation that reconciles the highly polymerizing behavior of iod...


international electronics manufacturing technology symposium | 1998

Motorola's strategy for reducing PFC emissions

Laurie Beu; P.T. Brown

PFCs are used in the semiconductor industry for plasma etching and chamber cleaning. The Kyoto Protocol, the DuPont policy, and the Memorandum of Understanding (MOU) that many semiconductor manufacturers signed with the US Environmental Protection Agency (EPA) are driving forces behind the reduction of PFC emissions. The Kyoto Protocol includes perfluorocarbons (PFCs), hydrofluorocarbons (HFCs) and sulfur hexafluoride (SF/sub 6/) in the basket of greenhouse gases which are subject to emissions reduction requirements during 2008-2012. DuPont, the sole US manufacturer of C/sub 2/F/sub 6/, issued a policy which requires industry to implement emissions reduction solutions in 1999. The EPA/MOU requires a two year technology development period (ending Spring, 1998), after which time the industry will meet with EPA to discuss establishment of specific reduction targets. Japan, Europe and Korea have developed voluntary PFC emissions reduction initiatives for semiconductor operations in their countries similar to the EPA MOU. Motorola has worked preactively over the past five years to understand its contribution to PFC emissions and to identify means for controlling emissions. This paper summarizes Motorolas research and development of PFC emissions reduction techniques. It also discusses the factors that affect the application of reduction technologies. The paper concludes with a discussion of Motorolas strategy for reducing PFC emissions.


IEEE Transactions on Components, Packaging, and Manufacturing Technology: Part C | 1998

Addressing environment, health, and safety in semiconductor process development

Laura Mendicino; Laurie Beu

Motorola has traditionally focused on cost, yield, performance, and logistics as primary drivers for decision-making. In the semiconductor industry, environment, health, and safety (EHS) issues have resulted in major modifications of tools and process steps, as well as the addition of environmental controls to the facility, because they are not routinely considered when making process design and manufacturing choices, nor is their impact on cost, yield, and cycle time. Certain business driving forces, such as cost, sustainability of processes/tools, time to market, market access, and market share, are leading Motorola to a cultural change in which EHS impacts must be considered during product and process design; however, design and process engineers have not been able to adequately address these impacts. Motorolas Advanced Process Research and Development Laboratory (APRDL) in the Semiconductor Product Sector (SPS) has recognized this and has established its own environmental group (separate from the site EHS compliance group) to implement a design for EHS (DFEHS) strategy. The APRDL environmental group works with several project teams to address EHS issues in process development. These teams have been investigating copper metallization, wet cleans processes and tools, and other areas to ensure that the long-term EHS implications are identified and addressed before a process is transferred or a tool set recommended to a manufacturing fab. To empower engineers to consider, on their own, the EHS impacts of their materials and processes at the earliest possible stage, the APRDL environmental group has developed a DFEHS training course. This self-instructed, web-based course targets semiconductor design and process engineers and is available through Motorola University, the corporate training institution. The group has also established a procedure for approving new materials for the development lab in which EHS criteria are included.

Collaboration


Dive into the Laurie Beu's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Laura Pruette

Massachusetts Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Rafael Reif

Massachusetts Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Simon Karecki

Massachusetts Institute of Technology

View shared research outputs
Top Co-Authors

Avatar

Victor H. Vartanian

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Jaegab Lee

Massachusetts Institute of Technology

View shared research outputs
Researchain Logo
Decentralizing Knowledge