Lieve Van Look
Katholieke Universiteit Leuven
Network
Latest external collaboration on country level. Dive into details by clicking on the dots.
Publication
Featured researches published by Lieve Van Look.
Physica C-superconductivity and Its Applications | 2000
Margriet Van Bael; Lieve Van Look; Kristiaan Temst; M. Lange; Joost Bekaert; Ulrich May; G. Güntherodt; Victor Moshchalkov; Yvan Bruynseraede
The pinning of flux lines by two different types of regular arrays of submicron magnetic dots is studied in superconducting Pb films; rectangular Co dots with in-plane magnetization are used as pinning centers to investigate the influence of the magnetic stray field of the dots on the pinning phenomena, whereas multilayered Co/Pt dots with out-of-plane magnetization are used to study the magnetic interaction between the flux lines and the magnetic moment of the dots. For both types of pinning arrays, matching anomalies are observed in the magnetization curves versus perpendicular applied field at integer and rational multiples of the first matching field, which correspond to stable flux configurations in the artificially created pinning potential. By varying the magnetic domain structure of the Co dots with in-plane magnetization, a clear influence of the stray field of the dots on the pinning efficiency is found. For the Co/Pt dots with out-of-plane magnetization, a pronounced field asymmetry is observed in the magnetization curves when the dots are magnetized in a perpendicular field prior to the measurement. This asymmetry can be attributed to the interaction of the out-of-plane magnetic moment of the Co/Pt dots with the local field of the flux lines and indicates that flux pinning is stronger when the magnetic moment of the dot and the field of the flux line have the same polarity.
Journal of Micro-nanolithography Mems and Moems | 2010
Joost Bekaert; Lieve Van Look; Vincent Truffert; Frederic Lazzarino; Geert Vandenberghe; Mario Reybrouck; Shinji Tarutani
A strong demand exists for techniques that extend application of ArF immersion lithography. Besides techniques such as litho-friendly design, dual exposure/patterning schemes, customized illumination, alternative processing schemes are also viable candidates. One of the most promising alternative flows uses image reversal by means of a negative tone development (NTD) step with a Fujifilm solvent-based developer. Traditionally, contact and trench printing uses a dark-field mask in combination with positive tone resist and positive tone development. With NTD, the same features are printed in positive resist using light-field masks, and consequently with better image contrast. We present an overview of NTD applications, comparing the NTD performance to that of the traditional development. Experimental work is performed at a 1.35 numerical aperture, targeting the contact/metal layers of the 32- and 22-nm nodes. For contact printing, we consider both single- and dual-exposure schemes for regular arrays and 2-D patterns. For trench printing, we study 1-D, line end, and 2-D patterns. We also assess the etch capability and critical dimension uniformity performance of the NTD process. We proves the added value of NTD. It enables us to achieve a broader pitch range and/or smaller litho targets, which makes NTD attractive for the most advanced lithography applications, including double patterning.
Journal of Micro-nanolithography Mems and Moems | 2015
Hari Pathangi; Boon Teik Chan; Hareen Bayana; Nadia Vandenbroeck; Dieter Van den Heuvel; Lieve Van Look; Paulina Rincon-Delgadillo; Yi Cao; Jihoon Kim; Guanyang Lin; Doni Parnell; Kathleen Nafus; Ryota Harukawa; Ito Chikashi; Marco Polli; Lucia D’Urzo; Roel Gronheid; Paul F. Nealey
Abstract. High-defect density in thermodynamics driven directed self-assembly (DSA) flows has been a major cause of concern for a while and several questions have been raised about the relevance of DSA in high-volume manufacturing. The major questions raised in this regard are: (1) What is the intrinsic level of DSA-induced defects? (2) Can we isolate the DSA-induced defects from the other processes-induced defects? (3) How much do the DSA materials contribute to the final defectivity and can this be controlled? (4) How can we understand the root causes of the DSA-induced defects and their kinetics of annihilation? (5) Can we have block copolymer anneal durations that are compatible with standard CMOS fabrication techniques (in the range of minutes) with low-defect levels? We address these important questions and identify the issues and the level of control needed to achieve a stable DSA defect performance.
Journal of Micro-nanolithography Mems and Moems | 2011
Joost Bekaert; Bart Laenens; Staf Verhaegen; Lieve Van Look; Darko Trivkovic; Frederic Lazzarino; Geert Vandenberghe; Paul van Adrichem; Robert J. Socha; Stephen Hsu; Hua-yu Liu; Orion Mouraille; Koen Schreel; Mircea Dusa; Jörg Zimmermann; Paul Gräupner; Jens Timo Neumann
The use of customized illumination modes is part of the pursuit to stretch the applicability of immersion ArF lithography. Indeed, a specific illumination source shape that is optimized for a particular design leads to enhanced imaging results. Recently, freeform illumination has become available through pixelated diffractive optical elements or through ASMLs programmable illuminator system (FlexRayTM) allowing for virtually unconstrained intensity distribution within the source pupil. In this paper, the benefit of freeform over traditional illumination is evaluated, by applying source mask co-optimization (SMO) for an aggressive use case and wafer-based verification. For a 22-nm node SRAM of 0.099 and 0.078 μm2 bit cell area, the patterning of the full contact and metal layer into a hard mask is demonstrated with the application of SMO and freeform illumination. In this work, both pixelated diffractive optical elements and FlexRay are applied. Additionally, the match between the latter two is confirmed on wafer, in terms of critical dimension and process window.
Physica C-superconductivity and Its Applications | 2002
Sophie Raedts; Margriet Van Bael; Kristiaan Temst; M. Lange; Lieve Van Look; Johan Swerts; Victor Moshchalkov; Yvan Bruynseraede
We have studied the magnetic and superconducting properties of a nanostructured magnetic/superconducting hybrid system, consisting of a Co layer with a square array of rectangular antidots, covered with a thin 500 A superconducting Pb layer. The magnetic force microscopy data have revealed that the domain walls are located between neighbouring antidots and are pinned at the antidot corners. The superconducting pinning properties of the hybrid system are studied by means of SQUID magnetisation measurements for different magnetic states of the Co antidot lattice. The results show that the stray field, coming from the domain walls in the antidot array, contributes more to the pinning potential than the periodic modulation of the underlying Co layer. The flux lines seem to be pinned at the domain walls between the antidots. In this way, possible matching effects are lost. The network of domain walls thus creates a new type of an artificial pinning array.
Physica C-superconductivity and Its Applications | 2000
V. Bruyndoncx; Lieve Van Look; Victor Moshchalkov
Abstract The normal/superconducting phase boundary T c has been calculated for mesoscopic loops as a function of an applied perpendicular magnetic field H . While for thin-wire loops and filled disks, the T c ( H ) curves are well known, the intermediate case, namely, mesoscopic loops of finite wire width, have been studied much less. The linearized first Ginzburg–Landau (GL) equation is solved with the proper normal/vacuum boundary conditions both at the internal and at the external loops radii. For thin-wire loops, the T c ( H ) oscillations are perfectly periodic, and the T c ( H ) background is parabolic (this is the usual Little–Parks effect). For loops of thicker wire width, there is a crossover magnetic field above which T c ( H ) becomes quasi-linear, with the period identical to the T c ( H ) of a filled disk (i.e., pseudoperiodic oscillations). This dimensional transition is similar to the 2D–3D transition for thin films in a parallel field, where vortices start penetrating the material as soon as the film thickness exceeds the temperature-dependent coherence length by a factor 1.8. For the presently studied loops, the crossover point is controlled by a similar condition. In the high-field ‘3D’ regime, a giant vortex state establishes, where only a surface superconducting sheath near the samples outer radius is present.
Physica B-condensed Matter | 2000
Margriet Van Bael; Kristiaan Temst; Lieve Van Look; Joost Bekaert; Victor Moshchalkov; Yvan Bruynseraede
Abstract Flux pinning is studied in superconducting Pb films with a lattice of sub-micron Co dots. Matching anomalies are observed in the magnetization curves, corresponding to stable vortex configurations in the periodic pinning potential created by the lattice. By comparing magnetic dots (Co) with different stray field strengths and non-magnetic dots (Ge, Au), a pronounced enhancement of the pinning efficiency by the local stray field of the Co dots is demonstrated.
Japanese Journal of Applied Physics | 2006
Takashi Yuito; Vincent Wiaux; Lieve Van Look; Geert Vandenberghe; Shigeo Irie; Takahiro Matsuo; Akio Misaka; Masayuki Endo; Masaru Sasago
One of the most challenging issues for 45-nm-node contact hole printing is to ensure a sufficient process window required for day-to-day manufacturing, and to reduce large mask error enhancement factor (MEEF) to keep the critical dimension (CD) controllable. In this study, we propose a new phase-shifting mask for random layout contact hole printing, named Mask Enhancer. By applying the Mask Enhancer, we can enhance image contrast for both isolated and dense contact holes at the same time. In addition, the Mask Enhancer is extendable for a random layout including diagonally placed or non-square contact hole. In this paper, we show the principle of the Mask Enhancer for improving image contrast and we discuss the lithographic performance of the Mask Enhancer comparing it with that of the attenuated phase-shifting mask (Att-PSM). Furthermore, we demonstrate 0.249 µm2 static random access memory (SRAM) contact layer printing which is a 45-nm-node requirement, combining it with the immersion exposure technology on a 0.85 numerical aperture (NA) ArF immersion tool.
International Conference on Extreme Ultraviolet Lithography 2018 | 2018
Lieve Van Look; Joost Bekaert; Andreas Frommhold; Eric Hendrickx; Gijsbert Rispens; Guido Schiffelers
Controlling the Global and Local CD uniformity (GCDU and LCDU) of Contact Holes (CH) and the associated edge placement errors are important for the implementation of EUV lithography in high-volume production at memory chip manufacturers. The GCDU describes the average CH CD variability within and between the fields on the wafer, while the LCDU comprises the CH-to-CH variation between neighboring CHs. We have experimentally measured these parameters on a representative memory layer to understand the current performance, and suggest possibilities and pathways for future improvement. We report on an extensive experimental imaging study of a 40 nm pitch square CH array use case, using ASML’s NXE:3300 EUV exposure tool at imec. We decompose the GCDU into Intra-Field and Intra-Wafer signatures, and the LCDU into systematic and stochastic components. Through this decomposition, we can assess the contribution of mask, scanner and resist process. A 10-month monitor evaluates the changes over time of these respective components and the relation between GCDU and LCDU. The mask contribution to LCDU was further examined by a CH-to-CH comparison of mask and wafer measurements. LCDU improvements could be obtained by optimizing the source for a better contrast through focus (6% LCDU improvement w.r.t. a Standard Quasar source shape at best focus, up to 30% in defocus) as well as by a resist stack optimization. Optimized resist stacks delivered 15% improvements in a lower LCDU on one hand, or a lower dose-tosize on the other hand. The results of this pitch 40 nm contact hole study lead to a better understanding of the needs for mask and scanner for the memory use case at 0.33 NA EUV lithography.
Journal of Micro-nanolithography Mems and Moems | 2011
Lieve Van Look; Joost Bekaert; Bart Laenens; Geert Vandenberghe; Jan Richter; Karsten Bubke; Jan Hendrik Peters; Koen Schreel; Mircea Dusa
Pellicles are mounted on the masks used in ArF lithography for integrated circuit manufacturing to ensure defect-free printing. The pellicle, a thin transparent polymer film, protects the reticle from dust. But, as the light transmittance through the pellicle has an angular dependency, the pellicle also acts as an apodization filter. In the current work, we present both experimental and simulation results at 1.35 numerical aperture immersion ArF lithography showing the influence of two types of pellicles on proximity and intra-die critical dimension uniformity (CDU). To do so, we mounted and dismounted the different pellicle types on one and the same mask. The considered structures on wafer are compatible with the 32-nm logic node for poly and metal. For the standard ArF pellicle (thickness 830 nm), we experimentally observe a distinct effect of several nm due to the pellicle presence on both the proximity and the intra-die CDU. For the more advanced pellicle (thickness 280 nm), no signature of the pellicle on proximity or CDU could be found. By modeling the pellicles optical properties as a Jones Pupil, we are able to simulate the pellicle effects with good accuracy. These results indicate that for the 32-nm node, it is recommended to take the pellicle properties into account in the optical proximity correction calculation when using a standard pellicle. In addition, simulations also indicate that a local dose correction can compensate to a large extent for the intra-die pellicle effect. When using the more advanced thin pellicle (280 nm), no such corrections are needed.