Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Marcel Lux is active.

Publication


Featured researches published by Marcel Lux.


Solid State Phenomena | 2005

Evaluation of megasonic cleaning for sub-90-nm technologies

Guy Vereecke; Frank Holsteyns; Sophia Arnauts; S. Beckx; P. Jaenen; Karine Kenis; M. Lismont; Marcel Lux; Rita Vos; James Snow; Paul Mertens

Cleaning of nanoparticles (< 50nm ) is becoming a major challenge in semiconductor manufacturing and the future use of traditional methods, such as megasonic cleaning, is questioned. In this paper the capability of megasonic cleaning to remove nanoparticles without inflicting damage to fragile structures is investigated. The role of dissolved gas in cleaning efficiency indicates that cavitation is the main cleaning mechanism. Consequently gas mass-balance analyses are needed to optimize the performance of cleaning tools. When gas is dissolved in the cleaning present tools can remove nanoparticles down to about 30 nm using dilute chemistries at low temperature. Ultimate performance is limited by cleaning uniformity, which depends on tool design and operation. However no tool reached the target of high particle removal efficiency andlow damage. Significantly lower damage could only be obtained by decreasing the power, at the cost of a lower cleaning efficiency for nanoparticles. The development of damage-free megasonic is discussed.


Japanese Journal of Applied Physics | 2008

Alternative Photoresist Removal Process to Minimize Damage of Low-k Material Induced by Ash Plasma

Quoc Toan Le; Johan Keldermans; Nicoló Chiodarelli; Els Kesters; Marcel Lux; Martine Claes; Guy Vereecke

Dry ashing of photoresist (PR) using oxygen-containing plasma applied subsequently to an etch plasma leads to degradation of porous low-k material. The surface region is substantially depleted in carbon. The low-k film becomes more hydrophilic after being subjected to plasma etch and especially ash process as evidenced by water absorption results. The amount of absorbed water into a 30% porosity film at moisture saturation is estimated to be about 15% of the film volume, which corresponds to 50% of the total pores in the low-k film. A wet, alternative means for PR removal based on dissolution of PR in organic solvents combined with physical forces is presented. Under certain conditions, megasonic cleaning resulted in complete removal of the PR layer without damaging of the dielectric lines. These results suggest that the PR crust is permeable to these solvents and that out-diffusion of dissolved bulk PR also occurred through the crust. Dissolution of bulk PR in organic solvents first makes the PR structure more fragile, then the physical energy helps to remove the remaining crust mechanically without dissolving it. Compared to plasma ashing, solvent strip shows no carbon depletion and no significant increase in k-value.


Meeting Abstracts | 2007

All-Wet Strip Approaches for Post-Etch Photoresist Layers After Low-K Patterning

Martine Claes; QuocToan Le; Els Kesters; Marcel Lux; Ariana Urionabarrenetxea; Guy Vereecke; P. Mertens; Robert Carleer; Peter Adriaensens

Plasma chemistries, applied during low-k patterning processes in back-end of line (BEOL) applications, modify the photoresist (PR) layer present on top of the etched structures. The remaining resist layer after plasma etch is resilient towards most organic solvents and aqueous solutions. Conventionally, the layer is removed before copper deposition using an oxidizing plasma process. This approach is not acceptable anymore due to damage of the dielectric, i.e. k-value degradation and chemical modifications [1,2]. In order to obtain less damaging photoresist removal processes for post low-k etch, the use of wet-only methods is under investigation.


Solid State Phenomena | 2009

Modification of photoresist by UV for post-etch wet strip applications

Quoc Toan Le; Els Kesters; Lutz Prager; Martine Claes; Marcel Lux; Guy Vereecke

In Back-End-of-Line processing, the remaining photoresist layer after plasma etch is traditionally removed using a plasma process. Plasma process was reported to induce damage to porous dielectric [1-3]. To minimize damage to low-k material, wet alternative methods of removal of photoresist layer on porous low-k dielectrics are gaining a renewed interest [4]. However, the presence of a “crust” generated by etch plasma at the photoresist surface makes it impossible to completely remove by a pure organic solvent. Indeed, the crust, most likely composed of crosslinked polymer, is not soluble in organic solvents [5]. For this reason, a UV pre-treatment is investigated to break cross-links in the crust or to modify the crust to enhance removal efficiency with solvent stripping in more advanced generations.


Solid State Phenomena | 2007

Photoresist Characterization and Wet Strip after Low-k Dry Etch

Martine Claes; Quoc Toan Le; J. Keldermans; Els Kesters; Marcel Lux; A. Franquet; Guy Vereecke; Paul Mertens; M.M. Frank; Robert Carleer; Peter Adriaensens; Dirk Vanderzande

[Claes, M.; Le, Q. T.; Kesters, E.; Lux, M.; Franquet, A.; Vereecke, G.; Mertens, P. W.] IMEC VZW, B-3001 Louvain, Belgium.


symposium on vlsi technology | 1998

A novel resist and post-etch residue removal process using ozonated chemistries

S. De Gendt; Peter Snee; Ingrid Cornelissen; Marcel Lux; Rita Vos; Paul Mertens; D. M. Knotter; M. Heyns

A novel, environmentally friendly process is successfully applied for the removal of photoresist and organic post-etch residues from silicon surfaces. The moist ozone gasphase process described, greatly increases the organic removal efficiency. Improved performance over traditional processes is due to enhanced reactive ozone availability near the wafer surface. Additionally, OH radical scavengers such as acetic acid chemically enhance the process efficiency even further.


Solid State Phenomena | 2007

Particle Deposition and Removal from Ge Wafers

Sonja Sioncke; Marcel Lux; Wim Fyen; Marc Meuris; Paul Mertens; Antoon Theuwis

The past 40 years, a lot of research has been done on Si transistors. In many ways, Si has been nature’s gift to engineers. However, Moore’s law is pushing scientists to the edge of the possibilities of downscaling. As a result, Ge is reappearing in semiconductor research because of its high low field mobility [1]. However, the cleaning of Ge surfaces has not been studied very extensively in the past. In this work, we want to focus on the cleaning of Ge surfaces. Removing organic, particle and metallic contamination is a prerequisite for device manufacturing [2]. The cleaning of metallic contamination has been discussed elsewhere [3]. In this work, we present the results on particle removal tests.


Meeting Abstracts | 2007

Modifications of Porous Low-k by Plasma Treatments and Wet Cleans

Kaidong Xu; Guy Vereecke; Els Kesters; QuocToan Le; Marcel Lux; Sally-Ann Henry; Harald Kraus; Leo Archer; P. Mertens; Frederic Kovacs; Michael Dalmer; Ernst Gaulhofer; Shijian Luo; Qingyuan Han; Ivan Berry

With the implementation of Cu and low-κ dielectrics in back-end-of-line (BEOL), issues arise in every process step that must be addressed. One of the challenges is the susceptibility of Cu and low-κ materials to attack during residue removal (polymer) after low-κ etch and resist strip. This work focuses on the influence of the plasma etch and ash processes on compatibility of porous low-κ dielectrics with a selection of wet chemistries that are used for BEOL polymer removal.


international electron devices meeting | 1998

Cost-effective cleaning for advanced Si-processing

Marc Heyns; Twan Bearda; Ingrid Cornelissen; S. De Gendt; D. M. Knotter; Lee M. Loewenstein; Marcel Lux; Paul Mertens; Sofie Mertens; Marc Meuris; M. Schaekers; Peter Snee; Ivo Teerlinck; Rita Vos

The effect of various metal contaminants on the thin gate oxide integrity is investigated and a classification is made according to their final position in the structure. A simplified cleaning strategy is presented which is highly performant and at the same time cost-effective and has less environmental impact than the traditional cleaning sequences. Finally, a novel environmentally friendly ozone/DI-water process for the removal of photoresist and organic post-etch residues is proposed.


Solid State Phenomena | 2012

Influence of Photoresist and BARC Selection on the Efficiency of a Post-Etch Wet Strip in BEOL Applications

Els Kesters; Marcel Lux; Joris Pittevils; Jonas Baeyens; Guy Vereecke; Christina Baerts; Denis Shamiryan; H. Struyf

All-wet processes are gaining a renewed interest for the removal of post-etch photoresist (PR) and Bottom AntiReflective Coating (BARC) in the back-end-of-line (BEOL) semiconductor manufacturing, as plasma ash, traditionally used to remove the PR and BARC layer after etch, cause damage to the low-k dielectric. This study investigates the modification of 193 nm post-etch PR and BARC layer by UV irradiation, that can be used as an intermediate step to enhance PR and BARC wet strip by O3/H2O.

Collaboration


Dive into the Marcel Lux's collaboration.

Top Co-Authors

Avatar

Paul Mertens

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Quoc Toan Le

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge