Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Karine Kenis is active.

Publication


Featured researches published by Karine Kenis.


Solid State Phenomena | 2005

Evaluation of megasonic cleaning for sub-90-nm technologies

Guy Vereecke; Frank Holsteyns; Sophia Arnauts; S. Beckx; P. Jaenen; Karine Kenis; M. Lismont; Marcel Lux; Rita Vos; James Snow; Paul Mertens

Cleaning of nanoparticles (< 50nm ) is becoming a major challenge in semiconductor manufacturing and the future use of traditional methods, such as megasonic cleaning, is questioned. In this paper the capability of megasonic cleaning to remove nanoparticles without inflicting damage to fragile structures is investigated. The role of dissolved gas in cleaning efficiency indicates that cavitation is the main cleaning mechanism. Consequently gas mass-balance analyses are needed to optimize the performance of cleaning tools. When gas is dissolved in the cleaning present tools can remove nanoparticles down to about 30 nm using dilute chemistries at low temperature. Ultimate performance is limited by cleaning uniformity, which depends on tool design and operation. However no tool reached the target of high particle removal efficiency andlow damage. Significantly lower damage could only be obtained by decreasing the power, at the cost of a lower cleaning efficiency for nanoparticles. The development of damage-free megasonic is discussed.


international symposium on semiconductor manufacturing | 1999

Implementation of the IMEC-Clean in advanced CMOS manufacturing

Marc Meuris; S. Arnauts; I. Cornelissen; Karine Kenis; M. Lux; S. Degendt; Paul Mertens; Ivo Teerlinck; Rita Vos; L. Loewenstein; Marc Heyns; K. Wolke

We present data measured using the wet bench in the prototyping line of IMEC. This wet bench has been running for 3 years an IMEC Clean for prediffusion cleans including the most critical one: the pre-gate oxidation clean. The clean was introduced at IMEC for the 0.35 /spl mu/m CMOS process and its use has been succesfully extended to the 180 nm and 130 nm CMOS modules presently developed at IMEC.


Solid State Phenomena | 2012

Surface Passivation for Si Solar Cells: A Combination of Advanced Surface Cleaning and Thermal Atomic Layer Deposition of Al2O3

Bart Vermang; Aude Rothschild; Karine Kenis; Kurt Wostyn; Twan Bearda; A. Racz; X. Loozen; Joachim John; Paul Mertens; Jef Poortmans; Robert Mertens

Thermal atomic layer deposition (ALD) of Al2O3 provides an adequate level of surface passivation for both p-type and n-type Si solar cells. To obtain the most qualitative and uniform surface passivation advanced cleaning development is required. The studied pre-deposition treatments include an HF (Si-H) or oxidizing (Si-OH) last step and finish with simple hot-air drying or more sophisticated Marangoni drying. To examine the quality and uniformity of surface passivation - after cleaning and Al2O3 deposition - carrier density imaging (CDI) and quasi-steady-state photo-conductance (QSSPC) are applied. A hydrophilic surface clean that leads to improved surface passivation level is found. Si-H starting surfaces lead to equivalent passivation quality but worse passivation uniformity. The hydrophilic surface clean is preferred because it is thermodynamically stable, enables higher and more uniform ALD growth and consequently exhibits better surface passivation uniformity.


Solid State Phenomena | 2007

Aging phenomena in the removal of nano-particles from Si wafers

Guy Vereecke; J. Veltens; Kai Dong Xu; Atsuro Eitoku; Kenichi Sano; Sophia Arnauts; Karine Kenis; James Snow; Chris Vinckier; Paul Mertens

With the continuous shrinkage of critical sizes in semiconductor manufacturing, nano-particles smaller than 100-nm are becoming a potential threat to devices in chips. Storage of wafers contaminated during process steps often results in a decrease of particle removal efficiency in subsequent clean, a phenomenon referred to as aging. In this work, the influence of aging on the removal of silica and silicon nitride nano-particles from hydrophilic Si wafers was studied for different storage conditions. Trends observed for aging as a function of particle size and for different tools indicated that aging will become an issue for critical cleans where substrate etching must be kept very low and the physical component of the clean must be decreased to prevent damage to fine structures. Controlling the relative humidity during storage helped in lowering the effect of aging.


Meeting Abstracts | 2007

Damage Clustering and Damage-Size Distributions After Megasonic Cleaning

Cinzia De Marco; Kurt Wostyn; Twan Bearda; Kenichi Sano; Karine Kenis; Tom Janssens; Leonardus Leunissen; Atsuro Eitoku; P. Mertens

Physically-assisted-cleaning methods, e.g. megasonic cleaning, are evaluated by comparing particle removal efficiency (PRE) with added damage. [1] By identifying and classifying the added defects and linking them to the cleaning conditions, we expect to identify the weak spots in device structures and propose improved cleaning conditions. Weak spots could be related to material properties (e.g. line width roughness, poly-Si height variation, poly grain interfaces, etc). Aim of this work is to investigate if the defect distribution is supported by a random distribution of damage sites. Moreover we will study the impact of the megasonic cleaning settings on the damage size distribution.


Solid State Phenomena | 2009

High Velocity Aerosol Cleaning with Organic Solvents: Particle Removal and Substrate Damage

Michael T. Andreas; Kurt Wostyn; Masayuki Wada; Tom Janssens; Karine Kenis; Twan Bearda; Paul Mertens

High velocity aerosol cleaning using ultrapure water or dilute aqueous solutions (e.g. dilute ammonia) is common in semiconductor IC fabrication [1]. This process combines droplet impact forces with continuous liquid flow for improved cleaning efficiency of sub-100nm particles. As with any physically enhanced cleaning process, improved particle removal can be accompanied by increased substrate damage, especially to smaller (<80nm) features [2]. Solvents such as N-methylpyrrolidone (NMP) and tetrahydrofurfuryl alcohol (THFA) are used for resist strip applications [3]. It is possible, and sometimes useful, to deliver these solvents through the same spray nozzle normally used for aqueous spray cleaning. In this presentation we explore the particle removal and substrate damage performance of 2-ethoxyethanol (EGEE), NMP and THFA as used in a conventional aerosol spray cleaning system


Solid State Phenomena | 2005

Influences of oxide loss on contamination removal

Atsuro Eitoku; James Snow; Rita Vos; Karine Kenis; Paul Mertens

Introduction For the past few decades, RCA cleaning, that was developed by Kern, et.al. [1], has been the main method for cleaning wafers of semiconductor devices. SC1 (NH4OH/H2O2/H2O-mixture), which constitutes the first step of RCA cleaning, is one of the most widely used wet chemistries for particle and organic contaminants removal. SC2 (HCl/H2O2/H2O-mixture), which is the second component of the RCA cleaning procedure, removes metal contaminants.


Solid State Phenomena | 2012

'Just Clean Enough': Wet Cleaning for Solar Cell Manufacturing Applications

Kurt Wostyn; Wouter Baekelant; Jens Rip; Michael Haslinger; Karine Kenis; H. Struyf; Martine Claes; Paul Mertens; Stefan De Gendt

The cumulative installed solar power generation has been rising exponentially over the past decade. This has lead to a concomitant rise in production capabilities, leading eventually to excess production capabilities and rapid price declines per unit. In order to compete with the standard electricity generation the cost of solar panel production and installation needs to decrease even further. At the same time the solar panel and cell makers need to be able to keep a healthy margin. A crucial element in this exercise is a close control on the Cost of Ownership (CoO) of a solar cell / panel fabrication site.


IEEE Transactions on Semiconductor Manufacturing | 2009

Use of Surface Haze for Evaluation of Photoresist Residue Removal Efficiency

Sandip Halder; Rita Vos; Wada Masayuki; Karine Kenis; Twan Bearda; Sanda Radovanovic; Prasanna Dighe; Leonardus Leunissen; Paul Mertens

A new method for the fast evaluation of photoresist residue removal efficiency is discussed in this paper. In this method ldquohazerdquo which is the low-frequency component of the background signal of a light scattering instrument is mapped over the entire wafer. Since the background signal is sensitive to any kind of surface anomaly, it can be used as a metrology for any kind of surface roughness or residues. The goal of this paper is to devise a fast and cheap screening method for photoresist residue removal efficiency. Using this method we show that cleaning solutions can be easily screened for their residue removal efficiencies based on the haze signal of the light scattering instrument.


Solid State Phenomena | 2007

Study of the Dynamics of Local Particle Removal Efficiencies Using Localized Haze Maps

Tom Janssens; Frank Holsteyns; Karine Kenis; Sophia Arnauts; Twan Bearda; Kurt Wostyn; Gavin Simpson; Andy Steinbach; Paul Mertens

The local particle removal efficiency (PRE) of nano particles in megasonic cleaning experiments is studied. This approach makes it possible to quantify non uniform cleaning effects over the wafer and to look into the dynamics of particle removal at different areas on the wafer. A direct correlation between PRE and megasonic induced damage of device structures demonstrates that a considerable amount of damage is already formed at less efficiently cleaned areas of the wafer.

Collaboration


Dive into the Karine Kenis's collaboration.

Top Co-Authors

Avatar

Paul Mertens

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Stefan De Gendt

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Twan Bearda

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Kurt Wostyn

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge