Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Mark Somervell is active.

Publication


Featured researches published by Mark Somervell.


Journal of Micro-nanolithography Mems and Moems | 2012

Implementation of a chemo-epitaxy flow for directed self-assembly on 300-mm wafer processing equipment

Paulina A. Rincon Delgadillo; Roel Gronheid; Christopher J. Thode; Hengpeng Wu; Yi Cao; Mark Neisser; Mark Somervell; Kathleen Nafus; Paul F. Nealey

Abstract. The implementation of our previously reported chemo-epitaxy method for directed self-assembly (DSA) of block copolymers (BCPs) on 300-mm wafers is described in detail. Some challenges to be addressed include edge bead removal control of the layers forming the exposure stack and uniformity of the deposited films across the wafer. With the fine tuning of the process conditions, this flow provides chemically nanopatterned substrates with well-defined geometry and chemistry. After a film of BCP is annealed on the chemical patterns, high degrees of perfection are achieved. A BCP with natural periodicity of 25 nm was assembled on100-nm pitch prepatterns, obtaining 4X feature multiplication. Top-down scanning electron microscope images show a wide process window with depth of focus >200  nm and exposure latitude >40% for lines and spaces of 12.5-nm half-pitch. We provide a platform for future study of the origin of DSA generated defects and their relationship to process conditions and materials that are amenable to use by the semiconductor industry.


Proceedings of SPIE | 2012

Pattern scaling with directed self assembly through lithography and etch process integration

Benjamen M. Rathsack; Mark Somervell; Josh Hooge; Makoto Muramatsu; Keiji Tanouchi; Takahiro Kitano; Eiichi Nishimura; Koichi Yatsuda; Seiji Nagahara; Iwaki Hiroyuki; Keiji Akai; Takashi Hayakawa

Directed self-assembly (DSA) has the potential to extend scaling for both line/space and hole patterns. DSA has shown the capability for pitch reduction (multiplication), hole shrinks, CD self-healing as well as a pathway towards line edge roughness (LER) and pattern collapse improvement [1-4]. The current challenges for industry adoption are materials maturity, practical process integration, hardware capability, defect reduction and design integration. Tokyo Electron (TEL) has created close collaborations with customers, consortia and material suppliers to address these challenges with the long term goal of robust manufacturability. This paper provides a wide range of DSA demonstrations to accommodate different device applications. In collaboration with IMEC, directed line/space patterns at 12.5 and 14 nm HP are demonstrated with PS-b-PMMA (poly(styrene-b-methylmethacrylate)) using both chemo and grapho-epitaxy process flows. Pre-pattern exposure latitudes of >25% (max) have been demonstrated with 4X directed self-assembly on 300 mm wafers for both the lift off and etch guide chemo-epitaxy process flows. Within TELs Technology Development Center (TDC), directed selfassembly processes have been applied to holes for both CD shrink and variation reduction. Using a PS-b-PMMA hole shrink process, negative tone developed pre-pattern holes are reduced to below 30 nm with critical dimension uniformity (CDU) of 0.9 nm (3s) and contact edge roughness (CER) of 0.8 nm. To generate higher resolution beyond a PS-b-PMMA system, a high chi material is used to demonstrate 9 nm HP line/ space post-etch patterns. In this paper, TEL presents process solutions for both line/space and hole DSA process integrations.


Proceedings of SPIE | 2012

All track directed self-assembly of block copolymers: process flow and origin of defects

Paulina A. Rincon Delgadillo; Roel Gronheid; Christopher J. Thode; Hengpeng Wu; Yi Cao; Mark Somervell; Kathleen Nafus; Paul F. Nealey

Directed Self-Assembly (DSA) of block copolymers is considered to be a potential lithographic solution to achieve higher feature densities than can be obtained by current lithographic techniques. However, it is still not well-established how amenable DSA of block copolymers is to an industrial fabrication environment in terms of defectivity and processing conditions. Beyond production-related challenges, precise manipulation of the geometrical and chemical properties over the substrate is essential to achieve high pattern fidelity upon the self-assembly process. Using our chemo-epitaxy DSA approach offers control over the surface properties of the slightly preferential brush material as well as those of the guiding structures. This allows for a detailed assessment of the critical material parameters for defect reduction. The precise control of environment afforded by industrial equipment allows for the selective analysis of material and process related boundary conditions and assessment of their effect on defect generation. In this study, the previously reported implementation of our feature multiplication process was used to investigate the origin of defects in terms of the geometry of the initial pre-patterns. Additionally, programmed defects were used to investigate the ability of the BCP to heal defects in the resist patterns and will aid to assess the capture capability of the inspection tool. Finally, the set-up of the infrastructure that will allow the study the generation of defects due to the interaction of the BCP with the boundary conditions has been accomplished at imec.


Journal of Vacuum Science & Technology B | 2000

157 nm resist materials: Progress report

Colin J. Brodsky; Jeff D. Byers; Will Conley; Raymond J. Hung; Shintaro Yamada; Kyle Patterson; Mark Somervell; Brian C. Trinque; Hoang Vi Tran; Sungseo Cho; Takashi Chiba; Shang Ho Lin; Andrew Thomas Jamieson; Heather F. Johnson; Tony Vander Heyden; C. Grant Willson

Many semiconductor device manufacturers plan to make products with 157 nm lithography beginning in 2004. There is, at this time, no functional photoresist suitable for 157 nm exposure. Developing resist materials for 157 nm lithography is particularly challenging since water, oxygen, and even polyethylene are strongly absorbing at this wavelength. A modular approach to the design of a single layer resist for 157 nm has been undertaken. In this approach, the resist has been conceptually segmented into four functional modules: an acidic group, an acid labile protecting group, an etch resistant moiety, and a polymer backbone. Each of these modules has an assigned function and each must be transparent at 157 nm. Progress has been made toward finding candidate structures for each of these modules. We have demonstrated that acidic bistrifluoromethylcarbinols are very transparent at 157 nm and function efficiently in chemically amplified resists with both high and low activation energy protecting groups. Judicious incorporation of fluorine in acrylates and alicyclics has provided etch resistant polymers with greatly improved transparency at 157 nm. In particular, esters of poly(α-trifluromethylacrylic acid) are far more transparent than their protio analogs. The Diels–Alder adducts derived from reaction of these and other fluorinated alkenes with cyclopentadiene offer a route to a wide range of alicyclic monomers that show great promise as transparent, etch resistant platforms for the design of 157 nm resists. Polymers of this sort with absorbance below 2 per micrometer are reported.


Proceedings of SPIE | 2014

Defect reduction and defect stability in IMEC's 14nm half-pitch chemo-epitaxy DSA flow

Roel Gronheid; Paulina Rincon Delgadillo; Hari Pathangi; Dieter Van den Heuvel; Doni Parnell; Boon Teik Chan; Yu-Tsung Lee; Lieve Van Look; Yi Cao; YoungJun Her; Guanyang Lin; Ryota Harukawa; Venkat Nagaswami; Lucia D'Urzo; Mark Somervell; Paul F. Nealey

Directed Self-Assembly (DSA) of Block Co-Polymers (BCP) has become an intense field of study as a potential patterning solution for future generation devices. The most critical challenges that need to be understood and controlled include pattern placement accuracy, achieving low defectivity in DSA patterns and how to make chip designs DSA-friendly. The DSA program at imec includes efforts on these three major topics. Specifically, in this paper the progress in DSA defectivity within the imec program will be discussed. In previous work, defectivity levels of ~560 defects/cm2 were reported and the root causes for these defects were identified, which included particle sources, material interactions and pre-pattern imperfections. The specific efforts that have been undertaken to reduce defectivity in the line/space chemoepitaxy DSA flow that is used for the imec defectivity studies are discussed. Specifically, control of neutral layer material and improved filtration during the block co-polymer manufacturing have enabled a significant reduction in the defect performance. In parallel, efforts have been ongoing to enhance the defect inspection capabilities and allow a high capture rate of the small defects. It is demonstrated that transfer of the polystyrene patterns into the underlying substrate is critical for detecting the DSA-relevant defect modes including microbridges and small dislocations. Such pattern transfer enhances the inspection sensitivity by ~10x. Further improvement through process optimization allows for substantial defectivity reduction.


Proceedings of SPIE | 2012

Comparison of directed self-assembly integrations

Mark Somervell; Roel Gronheid; Joshua S. Hooge; Kathleen Nafus; Paulina A. Rincon Delgadillo; Chris Thode; Todd R. Younkin; Koichi Matsunaga; Ben Rathsack; Steven Scheer; Paul F. Nealey

Directed Self-Assembly (DSA) is gaining momentum as a means for extending optical lithography past its current limits. There are many forms of the technology, and it can be used for creating both line/space and hole patterns.1-3 As with any new technology, adoption of DSA faces several key challenges. These include creation of a new materials infrastructure, fabrication of new processing hardware, and the development of implementable integrations. Above all else, determining the lowest possible defect density remains the industrys most critical concern. Over the past year, our team, working at IMEC, has explored various integrations for making 12-14nm half-pitch line/space arrays. Both grapho- and chemo-epitaxy implementations have been investigated in order to discern which offers the best path to high volume manufacturing. This paper will discuss the manufacturing readiness of the various implementations by comparing the process margin for different DSA processing steps and defect density for the entirety of the flow. As part of this work, we will describe our method for using programmed defectivity on reticle to elucidate the mechanisms that drive self-assembly defectivity on wafer.


Journal of Physical Organic Chemistry | 2000

Organic imaging materials: a view of the future

Michael D. Stewart; Kyle Patterson; Mark Somervell; C. Grant Willson

Over the last half century, the world semiconductor industry has provided phenomenal increases in computing power while simultaneously lowering production costs. This achievement is largely the result of the industry being able to print smaller and smaller features using photolithographic techniques. The organic imaging materials used in the photolithography (generally known as photoresists) have undergone many changes over the industrys history, and if the increases in computing speeds and decreases in costs are to continue in the future, more changes are necessary. This paper discusses the current generation of photoresists and the on-going development of future generation photoresist technologies. Copyright


Journal of Micro-nanolithography Mems and Moems | 2012

Frequency multiplication of lamellar phase block copolymers with grapho-epitaxy directed self-assembly sensitivity to prepattern

Roel Gronheid; Paulina A. Rincon Delgadillo; Ivan Pollentier; Paul F. Nealey; Todd R. Younkin; Mark Somervell; Joshua S. Hooge; Kathleen Nafus

Abstract. The optimization of a grapho-epitaxy process flow for lamellar phase block copolymer frequency multiplication on full 300 mm wafers is discussed. The process uses a dedicated photoresist that, after hardening, allows direct coating and annealing of the block copolymer over it. Some of the critical parameters for optimization of this process were found to be the selection of the neutral layer material and reduction of the prepattern resist height. Process window analysis was done by determining the best dose and focus settings for generating high quality directed self-assembly structures with the prepattern process. A very small process window for good self-assembly and an offset in the optimum dose and focus settings for these two stages of the process were found. Finally, the sensitivity of the process to programmed prepattern imperfections was studied. Programmed protrusions in the prepattern as small as 6 nm were found to cause self-assembly defects.


Journal of Micro-nanolithography Mems and Moems | 2012

Nanopatterning of diblock copolymer directed self-assembly lithography with wet development

Makoto Muramatsu; Mitsuaki Iwashita; Takahiro Kitano; Takayuki Toshima; Mark Somervell; Yuriko Seino; Daisuke Kawamura; Masahiro Kanno; Katsutoshi Kobayashi; Tsukasa Azuma

Abstract. A method for using wet development in a directed self-assembly lithography (DSAL) application is reported. For the typical diblock copolymer poly(styrene-block-methyl methacrylate) (PS-b-PMMA), the PMMA area is removed by an oxygen plasma. However, the oxygen plasma has poor selectivity for the PS portion of the block polymer and etches it simultaneously. As a result, the thickness of the residual PS pattern is thinner than desired and creates a challenge for subsequent pattern transfer. A wet development technique is discussed which offers higher selectivity between the PMMA and PS blocks in the assembled pattern. Specifically, a method using a low pressure mercury lamp and conventional tetramethylammonium hydroxide (TMAH, 2.38%) developer is proposed. Using this method, DSA pattern formation is completed in a single track having coating, baking, exposure, and development modules.


Journal of Vacuum Science & Technology B | 2000

Study of the fundamental contributions to line edge roughness in a 193 nm, top surface imaging system

Mark Somervell; David S. Fryer; Brian P. Osborn; Kyle Patterson; Jeffrey D. Byers; C. Grant Willson

Top surface imaging systems based on vapor phase silylation have been investigated for use at a variety of wavelengths. This approach to generating high aspect ratio, high resolution images held great promise particularly for 193 nm and EUV lithography applications. Several 193 nm top surface imaging (TSI) systems have been described that produce very high resolution (low k factor) images with wide process latitude. However, because of the line edge roughness associated with the final images, TSI systems have fallen from favor. In fact, TSI does not appear in the strategy or plan for any imaging technology at this time. Most of the 193 nm TSI systems that have been studied are based on poly(p-hydroxystyrene) resins. These polymers have an unfortunate combination of properties that limit their utility in this application. These limiting properties include (1) high optical density, (2) poor silylation contrast, and (3) low glass transition temperature of the silylated material. These shortcomings are relate...

Collaboration


Dive into the Mark Somervell's collaboration.

Top Co-Authors

Avatar

Roel Gronheid

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Steven Scheer

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Benjamen M. Rathsack

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

C. Grant Willson

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Yi Cao

AZ Electronic Materials

View shared research outputs
Researchain Logo
Decentralizing Knowledge