Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Martin Lowisch is active.

Publication


Featured researches published by Martin Lowisch.


Proceedings of SPIE | 2013

ASML's NXE platform performance and volume introduction

Rudy Peeters; Sjoerd Lok; Erwin van Alphen; Noreen Harned; Peter Kuerz; Martin Lowisch; Henk Meijer; David Ockwell; Eelco van Setten; Guido Schiffelers; Jan-Willem van der Horst; Judon Stoeldraijer; Robert Kazinczi; Richard Droste; Hans Meiling; Ron Kool

All six NXE:3100, 0.25 NA EUV exposure systems are in use at customer sites enabling device development and cycles of learning for early production work in all lithographic segments; Logic, DRAM, MPU, and FLASH memory. NXE EUV lithography has demonstrated imaging and overlay performance both at ASML and end-users that supports sub- 27nm device work. Dedicated chuck overlay performance of <2nm has been shown on all six NXE:3100 systems. The key remaining challenge is productivity, which translates to a cost-effective introduction of EUVL in high-volume manufacturing (HVM). High volume manufacturing of the devices and processes in development is expected to be done with the third generation EUV scanners - the NXE:3300B. The NXE:3300B utilizes an NA of 0.33 and is positioned at a resolution of 22nm which can be extended to 18nm with off-axis illumination. The subsystem performance is improved to support these imaging resolutions and overall productivity enhancements are integrated into the NXE platform consistent with 125 wph. Since EUV reticles currently do not use a pellicle, special attention is given to reticle-addeddefects performance in terms of system design and machine build including maintenance procedures. In this paper we will summarize key lithographic performance of the NXE:3100 and the NXE:3300B, the NXE platform improvements made from learning on NXE:3100 and the Alpha Demo Tool, current status of EUV sources and development for the high-power sources needed for HVM. Finally, the possibilities for EUV roadmap extension will be reviewed.


Proceedings of SPIE | 2007

EUV lithography with the Alpha Demo Tools: status and challenges

Noreen Harned; Mieke Goethals; Rogier Groeneveld; Peter Kuerz; Martin Lowisch; Henk Meijer; Hans Meiling; Kurt G. Ronse; James Ryan; Michael Tittnich; Harm-Jan Voorma; John Zimmerman; Uwe Mickan; Sjoerd Lok

ASML has built and shipped to The College of Nanoscale Science and Engineering of the University at Albany (CNSE) and IMEC two full field step-and-scan exposure tools for extreme ultraviolet lithography. These tools, known as Alpha Demo Tools (ADT), will be used for process development and to set the foundation for the commercialization of this technology. In this paper we will present results from the set-up and integration of both ADT systems, status of resist and reticles for EUV, and the plans for using these tools at the two research centers. We will also present the first resist images from one of the tools at the customer site, and demonstrate 32nm half-pitch dense lines/spaces printing as well as 32nm dense contact hole printing.


Proceedings of SPIE | 2011

EUV lithography at chipmakers has started: performance validation of ASML's NXE:3100

Christian Wagner; Jose Bacelar; Noreen Harned; Erik Roelof Loopstra; Stef Hendriks; Ivo de Jong; Peter Kuerz; Leon Martin Levasier; Mark van de Kerkhof; Martin Lowisch; Hans Meiling; David Ockwell; Rudy Peeters; Eelco van Setten; Judon Stoeldraijer; Stuart Young; John Zimmerman; Ron Kool

With the 1st NXE:3100 being operational at a Semiconductor Manufacturer and a 2nd system being shipped at the time of writing this paper, we enter the next phase in the implementation of EUV Lithography. Since 2006 process and early device verification has been done using the two Alpha Demo Tools (ADTs) located at IMEC in Leuven, Belgium and at the CSNE in Albany, New York, USA. Now process integration has started at actual Chipmakers sites. This is a major step for the development and implementation of EUVL. The focus is now on the integration of exposure tools into a manufacturing flow, preparing high volume manufacturing expected to start in 2013. While last years NXE:3100 paper focused on module performance including optics, leveling and stages, this years update will, in detail, assess imaging, overlay and productivity performance. Based on data obtained during the integration phase of the NXE:3100 we will assess the readiness of the system for process integration at 27nm hp and below. Imaging performance with both conventional and off-axis illumination will be evaluated. Although single exposure processes offer some relief, overlay requirements continue to be challenging for exposure tools. We will share the status of the overlay performance of the NXE:3100. Source power is a key element in reaching the productivity of the NXE:3100 - its status will be discussed as well. Looking forward to high volume manufacturing with EUV we will update on the design status of the NXE:3300B being introduced in 2012 with a productivity target of 125wph. Featuring a 0.33NA lens and off-axis illumination at full transmission, a half pitch resolution from 22nm to 16nm can be supported. In order to ensure a solid volume ramp-up the NXE:3300B will be built on as many building blocks from the NXE:3100 as possible making optimum use of the NXE platform concept.


Optical Microlithography XVI | 2003

Impact of wavefront errors on low k1 processes at extremely high NA

Paul Graeupner; Reiner Garreis; Aksel Goehnermeier; Tilmann Heil; Martin Lowisch; Donis G. Flagello

This paper presents a comprehensive study of the impact of wavefront errors on low-k1-imaging performance using high numerical aperture NA lithographic systems. In particular, we introduce a linear model that correctly describes the aberration induced imaging effects. This model allows us to quantify the aberration requirements for future lithographic nodes. Moreover, we derive scaling laws characterizing the imaging performance in dependence on the key parameters exposure wavelength λ, NA, and k1. Our investigations demonstrate, first, that an accurate control of coma is and will be crucial, and, second, that spherical requirements will be very tight for k1<0.3 due to isolated contact printing. Finally, we summarize the results of this paper in a roadmap covering the aberration requirements in optical lithography down to the 45nm node. We conclude that the improvement of wavefront quality is necessary to enable imaging enhancement techniques, but is not sufficient to replace these techniques.


Proceedings of SPIE | 2012

From performance validation to volume introduction of ASML's NXE platform

Hans Meiling; Wim de Boeij; Frank Bornebroek; Noreen Harned; Ivo de Jong; Martin Lowisch; Henk Meijer; David Ockwell; Rudy Peeters; Eelco van Setten; Judon Stoeldraijer; Christian Wagner; Stuart Young; Ron Kool

ASMLs NXE platform is a multi-generation TWINSCAN™ platform using an exposure wavelength of 13.5nm, featuring a plasma source, all-reflective optics, and dual stages operating in vacuum. The NXE:3100 is the first product of this NXE platform. With a 0.25 NA projection optics, a planned throughput of 60 wafers/hr and dedicated chuck overlay of 4 nm, the NXE:3100 is targeted for extreme ultraviolet lithography (EUVL) implementation at 27nm halfpitch (hp) and below. The next generation NXE tools utilize a 0.33NA lens and include off-axis illumination for high volume manufacturing at a resolution down to 16nm hp and a targeted throughput of >100 wafers/hr. We share details of the performance of the 0.25NA lithography products in terms of imaging, overlay, throughput, and defectivity. We will show that we have met the required imaging performance associated with the 27nm hp node. We will also include a summary of the EUV source development, which is a key enabler for cost-effective introduction of EUVL into highvolume manufacturing. Finally, we will highlight some of the technical changes we introduced to enable the transition from 27 to 22nm lithographic performance while introducing our 0.33NA Step & Scan system, the NXE:3300B.


Proceedings of SPIE | 2009

EUVL system: moving towards production

Hans Meiling; Nico Buzing; Kevin Cummings; Noreen Harned; Bas Hultermans; Roel De Jonge; Bart Kessels; Peter Kürz; Sjoerd Lok; Martin Lowisch; Joerg Mallman; Bill Pierson; Christian Wagner; Andre van Dijk; Eelco van Setten; John Zimmerman

Single exposure lithography is the most cost effective means of achieving critical level exposures, and extreme ultraviolet lithography (EUVL) is the technology that will enable this for 27nm production and below. ASML is actively engaged in the development of a multi generation production EUVL system platform that builds on TWINSCANTM technology and the designs and experience gained from the build, maintenance, and use of the Alpha Demo Tools (ADTs). The ADTs are full field step-and-scan exposure systems for EUVL and are being used at two research centers for EUVL process development by more than 10 of the major semiconductor chip makers, along with all major suppliers of masks and resist. In this paper, we will present our EUVL roadmap, and the manufacturing status of the projection lens for our first production system. Included will also be some test data on the new reticle pods. Experimental results from ADT showing the progress in imaging (28 nm half pitch 1:1 lines/spaces CDU ~10%), single machine overlay down to 3 nm, and resist complete the paper.


Proceedings of SPIE | 2014

EUV lithography: NXE platform performance overview

Rudy Peeters; Sjoerd Lok; Joerg Mallman; Martijn van Noordenburg; Noreen Harned; Peter Kuerz; Martin Lowisch; Eelco van Setten; Guido Schiffelers; Alberto Pirati; Judon Stoeldraijer; David Brandt; Nigel Farrar; Igor V. Fomenkov; Herman Boom; Hans Meiling; Ron Kool

The first NXE3300B systems have been qualified and shipped to customers. The NXE:3300B is ASML’s third generation EUV system and has an NA of 0.33. It succeeds the NXE:3100 system (NA of 0.25), which has allowed customers to gain valuable EUV experience. Good overlay and imaging performance has been shown on the NXE:3300B system in line with 22nm device requirements. Full wafer CDU performance of <1.5nm for 22nm dense and iso lines at a dose of ~16mJ/cm2 has been achieved. Matched machine overlay (NXE to immersion) of around 3.5nm has been demonstrated on multiple systems. Dense lines have been exposed down to 13nm half pitch, and contact holes down to 17nm half pitch. 10nm node Metal-1 layers have been exposed with a DOF of 120nm, and using single spacer assisted double patterning flow a resolution of 9nm has been achieved. Source power is the major challenge to overcome in order to achieve cost-effectiveness in EUV and enable introduction into High Volume Manufacturing. With the development of the MOPA+prepulse operation of the source, steps in power have been made, and with automated control the sources have been prepared to be used in a preproduction fab environment. Flexible pupil formation is under development for the NXE:3300B which will extend the usage of the system in HVM, and the resolution for the full system performance can be extended to 16nm. Further improvements in defectivity performance have been made, while in parallel full-scale pellicles are being developed. In this paper we will discuss the current NXE:3300B performance, its future enhancements and the recent progress in EUV source performance.


Proceedings of SPIE | 2010

EUV into production with ASML's NXE platform

Christian Wagner; Noreen Harned; Peter Kuerz; Martin Lowisch; Hans Meiling; David Ockwell; Rudy Peeters; Koen van Ingen-Schenau; Eelco van Setten; Judon Stoeldraijer; Bernd Thuering

The NXE platform is a multi-generation EUV production platform that builds the technology, design and experience of both TWINSCAN™ and the two 0.25NA EUV tools (Alpha Demo Tools or ADTs) in use at two research centers for EUV process development. This paper reviews the EUV Industry status, presents recent imaging and device work carried out on the two 0.25NA ADT EUV tools and the status of the 1st production tool. Shipping in 2010, the NXE:3100 will be the 1st generation of the EUV exposure platform. With an NA of 0.25 and a productivity of 60wph this tool is targeted for EUV process implementation and early volume production at the 27nm node. We will highlight the key features of the NXE:3100. On our way towards shipment we describe the manufacturing status and performance data of optics, source and stages. The 0.32NA 2nd generation tool is designed as a lithography solution for high volume manufacturing with EUV at the 22nm node and below. With a productivity >125wph the NXE:3300 will be a cost effective solution for Lithography at the 22nm node and below. A 3rd generation with off-axis illumination at full transmission ensures extendibility of the NXE:3300 for resolutions down to 16nm.


Proceedings of SPIE | 2008

Performance of the full field EUV systems

Hans Meiling; Edwin Boon; Nico Buzing; Kevin Cummings; Olav Waldemar Vladimir Frijns; Judy Galloway; Mieke Goethals; Noreen Harned; Bas Hultermans; Roel De Jonge; Bart Kessels; Peter Kürz; Sjoerd Lok; Martin Lowisch; Joerg Mallman; Bill Pierson; Kurt G. Ronse; James Ryan; Emil Smitt-Weaver; Michael Tittnich; Christian Wagner; Andre van Dijk; John Zimmerman

The ASML extreme ultraviolet lithography (EUV) alpha demo tool is a 0.25NA fully functional lithography tool with a field size of 26×33 mm2, enabling process development for sub-40-nm technology. Two exposure tools are installed at customer facilities, and are equipped with a Sn discharge source. In this paper we present data measured at intermediate focus of the Sn source-collector module. We also present performance data from both exposure tools, show the latest results of resist exposures including excellent 32-nm half pitch dense staggered and aligned contact hole images, and present the highlights of the first demonstration of an electrically functional full field device with one of the layers made using EUVL in ASMLs alpha demo tool.


Proceedings of SPIE | 2013

Optics for ASML's NXE:3300B platform

Martin Lowisch; Peter Kuerz; Olaf Conradi; Gero Wittich; Wolfgang Seitz; Winfried Kaiser

Shipping in 2013, the NXE:3300 is the second generation of ASML’s EUV exposure platform. We review the current status of EUV optics production for the NXE:3300 tools. Four customer systems of the StarlithTM3300 series have been delivered so far. These sets of optics are characterized by a numerical aperture of 0.33 as well as significantly lower flare and wave-front levels compared to the StarlithTM3100. Meanwhile imaging down to 14 nm node features was demonstrated with the StarlithTM3300 pilot optics. Starting with this generation we introduce a fully new illumination system which allows for setting changes without efficiency loss. In this paper we focus on mirror fabrication and at wavelength qualification results of the optical systems produced so far. We also give an outline of potential solutions for the next generation of EUVL optics using higher NA.

Collaboration


Dive into the Martin Lowisch's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge