Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Peter Kuerz is active.

Publication


Featured researches published by Peter Kuerz.


Proceedings of SPIE | 2013

ASML's NXE platform performance and volume introduction

Rudy Peeters; Sjoerd Lok; Erwin van Alphen; Noreen Harned; Peter Kuerz; Martin Lowisch; Henk Meijer; David Ockwell; Eelco van Setten; Guido Schiffelers; Jan-Willem van der Horst; Judon Stoeldraijer; Robert Kazinczi; Richard Droste; Hans Meiling; Ron Kool

All six NXE:3100, 0.25 NA EUV exposure systems are in use at customer sites enabling device development and cycles of learning for early production work in all lithographic segments; Logic, DRAM, MPU, and FLASH memory. NXE EUV lithography has demonstrated imaging and overlay performance both at ASML and end-users that supports sub- 27nm device work. Dedicated chuck overlay performance of <2nm has been shown on all six NXE:3100 systems. The key remaining challenge is productivity, which translates to a cost-effective introduction of EUVL in high-volume manufacturing (HVM). High volume manufacturing of the devices and processes in development is expected to be done with the third generation EUV scanners - the NXE:3300B. The NXE:3300B utilizes an NA of 0.33 and is positioned at a resolution of 22nm which can be extended to 18nm with off-axis illumination. The subsystem performance is improved to support these imaging resolutions and overall productivity enhancements are integrated into the NXE platform consistent with 125 wph. Since EUV reticles currently do not use a pellicle, special attention is given to reticle-addeddefects performance in terms of system design and machine build including maintenance procedures. In this paper we will summarize key lithographic performance of the NXE:3100 and the NXE:3300B, the NXE platform improvements made from learning on NXE:3100 and the Alpha Demo Tool, current status of EUV sources and development for the high-power sources needed for HVM. Finally, the possibilities for EUV roadmap extension will be reviewed.


Proceedings of SPIE | 2007

EUV lithography with the Alpha Demo Tools: status and challenges

Noreen Harned; Mieke Goethals; Rogier Groeneveld; Peter Kuerz; Martin Lowisch; Henk Meijer; Hans Meiling; Kurt G. Ronse; James Ryan; Michael Tittnich; Harm-Jan Voorma; John Zimmerman; Uwe Mickan; Sjoerd Lok

ASML has built and shipped to The College of Nanoscale Science and Engineering of the University at Albany (CNSE) and IMEC two full field step-and-scan exposure tools for extreme ultraviolet lithography. These tools, known as Alpha Demo Tools (ADT), will be used for process development and to set the foundation for the commercialization of this technology. In this paper we will present results from the set-up and integration of both ADT systems, status of resist and reticles for EUV, and the plans for using these tools at the two research centers. We will also present the first resist images from one of the tools at the customer site, and demonstrate 32nm half-pitch dense lines/spaces printing as well as 32nm dense contact hole printing.


Proceedings of SPIE | 2011

EUV lithography at chipmakers has started: performance validation of ASML's NXE:3100

Christian Wagner; Jose Bacelar; Noreen Harned; Erik Roelof Loopstra; Stef Hendriks; Ivo de Jong; Peter Kuerz; Leon Martin Levasier; Mark van de Kerkhof; Martin Lowisch; Hans Meiling; David Ockwell; Rudy Peeters; Eelco van Setten; Judon Stoeldraijer; Stuart Young; John Zimmerman; Ron Kool

With the 1st NXE:3100 being operational at a Semiconductor Manufacturer and a 2nd system being shipped at the time of writing this paper, we enter the next phase in the implementation of EUV Lithography. Since 2006 process and early device verification has been done using the two Alpha Demo Tools (ADTs) located at IMEC in Leuven, Belgium and at the CSNE in Albany, New York, USA. Now process integration has started at actual Chipmakers sites. This is a major step for the development and implementation of EUVL. The focus is now on the integration of exposure tools into a manufacturing flow, preparing high volume manufacturing expected to start in 2013. While last years NXE:3100 paper focused on module performance including optics, leveling and stages, this years update will, in detail, assess imaging, overlay and productivity performance. Based on data obtained during the integration phase of the NXE:3100 we will assess the readiness of the system for process integration at 27nm hp and below. Imaging performance with both conventional and off-axis illumination will be evaluated. Although single exposure processes offer some relief, overlay requirements continue to be challenging for exposure tools. We will share the status of the overlay performance of the NXE:3100. Source power is a key element in reaching the productivity of the NXE:3100 - its status will be discussed as well. Looking forward to high volume manufacturing with EUV we will update on the design status of the NXE:3300B being introduced in 2012 with a productivity target of 125wph. Featuring a 0.33NA lens and off-axis illumination at full transmission, a half pitch resolution from 22nm to 16nm can be supported. In order to ensure a solid volume ramp-up the NXE:3300B will be built on as many building blocks from the NXE:3100 as possible making optimum use of the NXE platform concept.


Emerging Lithographic Technologies IX | 2005

Development of the ASML EUV alpha demo tool

Hans Meiling; Vadim Yevgenyevich Banine; Noreen Harned; Brian Blum; Peter Kuerz; Henk Meijer

As the predecessor for Extreme Ultraviolet Lithography (EUVL) production tools, ASML is realizing a development exposure tool, the alpha demo tool. The main objectives for undertaking this effort are to minimize the risks of changing to a new lithographic technology in production and to support the development of the global infrastructure of masks, sources, and resist. For this, initial imaging of the alpha demo tool is aimed at features consistent with teh 45-nm technology node. In this paper we will present the status of the realization of the alpha demo tool. Several modules of the system have been integrated in the main body, and results of the system (vacuum) performance. We will summarize the current status of EUV sources including the recent work on alternatives to using Xe, report on our in-house source research, and provide an update on the fabrication of EUV optics. Polishing data of the projection optics mirrors shows that not only have we realized the requirements for 45-nm imaging, but also are we well underway in meeting the imagin requirements for production EUVL at the 32-nm node and beyond. Finally, since key to the commercial success of EUVL will be the availability of the infrastructure for reticles and resist, we will summarize the general status of EUV masks and resist.


26th Annual International Symposium on Microlithography | 2001

Progress of the EUVL alpha tool

Hans Meiling; Jos Benschop; Udo Dinger; Peter Kuerz

After the successful completion of the European program EUCLIDES in which core competence for Extreme UltraViolet Lithography (EUVL) technology was generated, ASML (system integration), Carl Zeiss (optics), and their partners have entered the next phase of the program: design and realization of an exposure tool called the alpha tool ((alpha) -tool). This tool should be completed in 2003, and will demonstrate 50-nm-node compliant imaging using full- field all-reflective four-times reducing optics, as well as high performance vacuum scanning wafer- and reticle stages. IN this paper we present the status of the project, as well as highlight the progress in the optics development and optics contamination mitigation efforts.


Proceedings of SPIE | 2014

EUV lithography: NXE platform performance overview

Rudy Peeters; Sjoerd Lok; Joerg Mallman; Martijn van Noordenburg; Noreen Harned; Peter Kuerz; Martin Lowisch; Eelco van Setten; Guido Schiffelers; Alberto Pirati; Judon Stoeldraijer; David Brandt; Nigel Farrar; Igor V. Fomenkov; Herman Boom; Hans Meiling; Ron Kool

The first NXE3300B systems have been qualified and shipped to customers. The NXE:3300B is ASML’s third generation EUV system and has an NA of 0.33. It succeeds the NXE:3100 system (NA of 0.25), which has allowed customers to gain valuable EUV experience. Good overlay and imaging performance has been shown on the NXE:3300B system in line with 22nm device requirements. Full wafer CDU performance of <1.5nm for 22nm dense and iso lines at a dose of ~16mJ/cm2 has been achieved. Matched machine overlay (NXE to immersion) of around 3.5nm has been demonstrated on multiple systems. Dense lines have been exposed down to 13nm half pitch, and contact holes down to 17nm half pitch. 10nm node Metal-1 layers have been exposed with a DOF of 120nm, and using single spacer assisted double patterning flow a resolution of 9nm has been achieved. Source power is the major challenge to overcome in order to achieve cost-effectiveness in EUV and enable introduction into High Volume Manufacturing. With the development of the MOPA+prepulse operation of the source, steps in power have been made, and with automated control the sources have been prepared to be used in a preproduction fab environment. Flexible pupil formation is under development for the NXE:3300B which will extend the usage of the system in HVM, and the resolution for the full system performance can be extended to 16nm. Further improvements in defectivity performance have been made, while in parallel full-scale pellicles are being developed. In this paper we will discuss the current NXE:3300B performance, its future enhancements and the recent progress in EUV source performance.


Proceedings of SPIE | 2010

EUV into production with ASML's NXE platform

Christian Wagner; Noreen Harned; Peter Kuerz; Martin Lowisch; Hans Meiling; David Ockwell; Rudy Peeters; Koen van Ingen-Schenau; Eelco van Setten; Judon Stoeldraijer; Bernd Thuering

The NXE platform is a multi-generation EUV production platform that builds the technology, design and experience of both TWINSCAN™ and the two 0.25NA EUV tools (Alpha Demo Tools or ADTs) in use at two research centers for EUV process development. This paper reviews the EUV Industry status, presents recent imaging and device work carried out on the two 0.25NA ADT EUV tools and the status of the 1st production tool. Shipping in 2010, the NXE:3100 will be the 1st generation of the EUV exposure platform. With an NA of 0.25 and a productivity of 60wph this tool is targeted for EUV process implementation and early volume production at the 27nm node. We will highlight the key features of the NXE:3100. On our way towards shipment we describe the manufacturing status and performance data of optics, source and stages. The 0.32NA 2nd generation tool is designed as a lithography solution for high volume manufacturing with EUV at the 22nm node and below. With a productivity >125wph the NXE:3300 will be a cost effective solution for Lithography at the 22nm node and below. A 3rd generation with off-axis illumination at full transmission ensures extendibility of the NXE:3300 for resolutions down to 16nm.


Advances in Mirror Technology for X-Ray, EUV Lithography, Laser, and Other Applications | 2004

Fabrication and metrology of diffraction limited soft x-ray optics for the EUV microlithography

Udo Dinger; Guenther Seitz; Stefan Schulte; Frank Eisert; Christian Muenster; Stefan Burkart; Siegfried Stacklies; Christian Bustaus; Hubert Hoefer; Maximilian Mayer; Bernhard Fellner; Oliver Hocky; Markus Rupp; Klaus Riedelsheimer; Peter Kuerz

EUVL, i.e. microlithography at 13nm is one of the most likely technologies to satisfy the requirements for the 45nm-node and below of the IC-manufacturing roadmap. The development of the first step and scan machines meeting production requirements of field size and resolution is in progress. A key component of these machines will be a diffraction limited, off-axis mirror system with aspherical surfaces. The optical surfaces of these mirrors have to be fabricated and measured with unprecedented accuracy. In recent years, technology development at Carl Zeiss SMT AG was focussed on the on-axis aspheres of the NA=0.30 micro exposure tool (MET). Presently this technology is transferred to the surfaces of a NA=0.25 off-axis, large field system The current status of the fabrication and metrology of both on-axis and off-axis mirrors will be reviewed.


Proceedings of SPIE | 2013

Optics for ASML's NXE:3300B platform

Martin Lowisch; Peter Kuerz; Olaf Conradi; Gero Wittich; Wolfgang Seitz; Winfried Kaiser

Shipping in 2013, the NXE:3300 is the second generation of ASML’s EUV exposure platform. We review the current status of EUV optics production for the NXE:3300 tools. Four customer systems of the StarlithTM3300 series have been delivered so far. These sets of optics are characterized by a numerical aperture of 0.33 as well as significantly lower flare and wave-front levels compared to the StarlithTM3100. Meanwhile imaging down to 14 nm node features was demonstrated with the StarlithTM3300 pilot optics. Starting with this generation we introduce a fully new illumination system which allows for setting changes without efficiency loss. In this paper we focus on mirror fabrication and at wavelength qualification results of the optical systems produced so far. We also give an outline of potential solutions for the next generation of EUVL optics using higher NA.


Emerging Lithographic Technologies VIII | 2004

Progress in the ASML EUV program

Hans Meiling; Vadim Yevgenyevich Banine; Peter Kuerz; Noreen Harned

ASML has continued to make significant investments in the development of extreme ultraviolet lithography (EUVL), addressing the critical challenges, including defect-free mask handling, reflective optics technology, environmental control, and source. We present updates in these key areas and in the realization of our process development exposure tool. This tool is used to minimize the risk of EUVL for the 45-nm technology node and below, and to support the development of the global infrastructure of masks, sources, and resist. Realization of the process development tool is well underway; most of the modules are in vacuum qualification and functional testing. From arial image simulations, we conclude that EUVL tools are particularly suited for contact printing, due to the use of dark-field masks, and hence, limited influence of flare.

Collaboration


Dive into the Peter Kuerz's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge