Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Martin McCallum is active.

Publication


Featured researches published by Martin McCallum.


26th Annual International Symposium on Microlithography | 2001

Alternating PSM mask performance: A study of multiple fabrication technique results

Martin McCallum; Patricia Gabella; Gilbert V. Shelden; Kevin Kjoller; Edward Kirk Miller

In this paper we will discuss the results obtained from five alternating aperture phase-shifting masks (altPSM), each with an identical layout but manufactured using a different technique. We will show the results obtained for mask CD performance measured on a SEM for a number of dimensions and duty cycles. We will show how the results obtained from conventional mask metrology compare with results from advanced analysis including mask topography information obtained using an automated atomic force microscope (AFM). Comparison will be made showing how the metrology structures on the mask compare to the actual structures in the patterning area. A comparison of the results achieved from each mask manufacturing technique will also be made.


18th Annual BACUS Symposium on Photomask Technology and Management | 1998

Assessment of a hypothetical road map that extends optical lithography through the 70-nm technology node

John S. Petersen; Martin McCallum; Nishrin Kachwala; Robert John Socha; J. Fung Chen; Thomas Laidig; Bruce W. Smith; Ronald L. Gordon; Chris A. Mack

This work discusses routes to extend optical lithography to the 70 nm technology node using proper selection of masks, mask design including choice of optical proximity correction (OPC), exposure tool, illuminator design, and resist design to do imaging process integration. The goal of this integration is to make each component of the imaging system work to the best benefit of the other imaging components so as to produce focus-exposure process windows large enough to use in a manufacturing environment. In order to maximize return on investment, the design of the photoresist and the exposure tool is used to simplify reticle design as much as possible. For masks, the choices of binary, alternating or attenuated phase-shift masks (PSM) are discussed. Alternating PSM produces the best image quality but the effective phase angle depends on NA, wavelength, sigma, magnification, pitch and duty cycle. Attenuated PSM has maximum image quality when using transmissions of 18% for contact holes and 30% to 40% for lines and spaces. Using high transmission masks increases working resolution of a wide range of feature sizes and shapes, but requires suppression of unwanted light. This suppression requires using ternary attenuated PSM and in many instances necessitates critical formation of a second layer on the mask that has both the proper size and placement of the second level features. For OPC, the use of scattering bar, sub-resolution assist features to make isolated lines mimic dense exposure-focus response is discussed. For illuminators, properly tuned weak off-axis illumination is used with binary and attenuated PSM to flatten image CD while maintaining image quality at an acceptable level for the resist. For resists, the need to balance resist bias and side-lobe printing is discussed. A work-in-progress integration experiment is reviewed for 525 nm and 1050 nm pitches with 175 nm targeted line features imaged with a 0.53 NA, 248 nm stepper that has been modified with weak and strong off-axis illuminators and a binary reticle. Results show weak illumination produces a common process corridor for the two pitches that will need enhancement using OPC, but that the individual windows have acceptable imaging capability. Predictions of production resolution that are inferred by our simulation and experimental results are made and recommendations are given to make these predictions a reality. Based on our work we believe that, expect for dense contact holes, 248 nm has the potential to be used through the 130 nm technology node and 193 nm can be used through the 100 nm node and the beginning of the 70 nm technology node. Dense contact holes will require a next generation lithography technology.


Proceedings of SPIE, the International Society for Optical Engineering | 2000

High-transmission attenuated PSM: benefits and limitations through a validation study of 33%, 20%, and 6% transmission masks

Nishrin Kachwala; John S. Petersen; Martin McCallum

Simulations indicate high transmission attenuated phase shift mask to improve resolution, reduce line end shortening, corner rounding and provide process window enhancements for some pitches. They also indicate that as the transmission is increased for line feature, the Normalized image log slope increases for all pitches. In this work the performance of 33 percent and 20 percent attenuated masks has been compared against 6 percent and binary masks. Imaging results were obtained for 160nm features at various pitches with a 0.6NA 248nm SVGL MSIII with conventional and annular illumination. Performance of high transmission in terms of Depth of Focus, overlapping process windows, exposure latitude and proximity effects with the various percent transmissions. Critical issues such as manufacturing of tri-tone masks, inspection, repair and material availability for high transmission masks will be addressed.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Imaging contrast improvement for 160-nm line features using subresolution assist features with binary, six percent ternary attenuated phase-shift mask with process-tuned resist

Nishrin Kachwala; John S. Petersen; J. Fung Chen; Mike Canjemi; Martin McCallum

The process window for a particular feature type can be improved by improving the aerial image or tuning the resist process. The aerial image can be improved by means of illumination or by means of mask enhancements. The illumination can be on-axis or off-axis tuned to feature type and mask. Mask enhancements being OPC and phase shifting. We illustrate process window improving by imaging enhancement with binary and attenuated mask, with conventional and annular off-axis illumination, with and without OPC. The OPC is Sub resolution assist features (SRF). The SRF structure modifies the aerial image of the primary feature and allows for reducing dense-iso bias across pitch leading to a larger overlapping DOF across multiple pitches (ODOF). Across pitch studies with a binary mask were carried out for semi-dense and isolated lines. This study was conducted with two types of resists. A low contrast resist process tuned for isolated line as patterned on an ASML/300 stepper. And a high contrast resist tuned for dense lines patterned on a SVGL Micrascan 3. Reported results are process improvements across pitch, developing process with scattering bars and not printing of side lobes. Simulation result with low and high contrast resist, Binary vs. 6 percent transmission masks will also be reported. PROLITH/3 simulation study conducted with a low contrast resist suggested that the isolated line resist would print the 80nm sub resolution feature at sizing. Further, that a high contrast resist would not print them at sizing but would print them when the 160nm lines were sized roughly 10 percent larger region. Thus far, at sizing, the experimental results matched prediction; the low contrast resists process printed the sub resolution features. As for process window matching across the chosen pitches, this process showed an imperfect solution with over exposure to eliminate the sub resolution patterns. Simulations appear to make good predictions of the two cases examined and make it possible to explore better solutions. For instance, under a fixed set of develop and PEB conditions, analysis of infinite contrast resist did not move the danger of sub resolution feature printing much above the +10 percent CD sizing. However, using a 6 percent ternary attPSM moved the printing limit to +20 percent of target Cd size. The result of process window improvements with an attenuated PSM using a high contrast resist will be discussed. In all the cases, sub resolution feature OPC for isolated lines was compared with no OPC feature.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Development of an incremental structural parameter model for predicting reactive ion etch rates of 193-nm photoresist polymer platforms

Thomas I. Wallow; Phillip J. Brock; Richard A. Di Pietro; Robert D. Allen; Juliann Opitz; Ratnam Sooriyakumaran; Donald C. Hofer; Ann Marie Mewherter; Yuping Cui; Wendy Yan; G. Worth; Wayne M. Moreau; Jeff Meute; Jeff D. Byers; Georgia K. Rich; Martin McCallum; Saikumar Jayaraman; Richard Vicari; Joy Cagle; Shenliang Sun; Karen A. Hullihen

The design of 193 nm photoresists with improved reactive ion etch (RIE) resistance has been a longstanding aim of both industrial and academic research and development programs. A variety of correlations between photoresist polymer structure and etch resistance have been developed, however, the universality of these approaches, and in particular, the practicality of marking comparisons across specific polymer families and specific RIE processes has recently been called in to question. In order to examine structure: RIE correlations in more detail, we have developed a new model based on the incremental structural parameters (ISP). This model makes use of a molecular fragment-based definition of polymer structure which incorporates and extends aspects of previous parameters such as the Ohnishi and Ring parameters. An initial study revealed that this model allowed quantitative correlations between polymer families and across etch processes to be made. Continuing studies which examine the use of the ISP model in integrating 193 nm photoresists in prototype production processes will be described. Various polymer families used in deep-UV and 193 nm photoresists including methacrylates, alternating copolymers, styrenes and cyclic olefins will be compared. We will present a more detailed description of the ISP a model and of the follow-on new ISP method which has been developed base don insights gained from the original ISP model, and made extended comparisons between the tow ISP models.


23rd Annual International Symposium on Microlithography | 1998

Reactive ion etching of 193-nm resist candidates: current platforms and future requirements

Thomas I. Wallow; Phillip J. Brock; Richard A. Di Pietro; Robert D. Allen; Juliann Opitz; Ratnam Sooriyakumaran; Donald C. Hofer; Jeff Meute; Jeff D. Byers; Georgia K. Rich; Martin McCallum; S. Schuetze; Saikumar Jayaraman; Karen A. Hullihen; Richard Vicari; Larry F. Rhodes; Brian L. Goodall; Robert A. Shick

We have examined the reactive ion etch (RIE) resistance of two families of 193 nm photoresist candidates, poly(methacrylates) and vinyl-polymerized poly(cyclic olefins), in three RIE processes. Correlation of these measurements to polymer structure and composition using known methods (Ohnishi and Ring Parameter fits) was moderately successful in demonstrating global trends but proved generally inadequate for providing quantitative predictions. To address this shortcoming, we have developed a new empirical structural parameter which provides a much more precise model for predicting RIE rates within a given family of polymers. The model is applicable across polymer platforms, with two caveats: (1) The methacrylate and cyclic olefin families examined to date fall on essentially parallel, offset curves when examined with the new model, (2) The offset between polymer family curves is RIE tool- and process-dependent. While these caveats imply a setback to the idea of a truly `universal model, they may in fact represent a powerful and unanticipated feature; the model appears to separate chemical RIE processes which affect individual functionalities within a polymer from predominantly polymer-family dependent processes such as global backbone degradation. In the course of conducting these studies, we have encountered several potential pitfalls in the measurement of etch rates. These illustrate the complex nature of plasma: resist interactions and highlight the careful experimental design and controls that are required if meaningful RIE rate comparisons between polymer and resist families are desired.


Microelectronic Engineering | 2001

Benchmarking of available rigorous electromagnetic field (EMF) simulators for phase-shift mask applications

Christian K. Kalus; Steffen List; Andreas Erdmann; Ron Gordon; Martin McCallum; Armin Semmler

Abstract It is well known that accurate simulation of phase-shifting masks in optical lithography requires representation as full 3D objects, as opposed to binary masks. To simulate these objects, the electromagnetic field in the neighbourhood of the mask must be calculated. The additional step of solving Maxwell’s equations tends to consume a large amount of CPU time compared to conventional simulation in which an infinitely thin mask is assumed. Therefore, the question of how much accuracy is gained using EMF solvers for the additional cost in terms of CPU time is relevant for its application in advanced lithography. The paper first addresses accuracy: comparisons from a Kirchhoff-type simulator to the newly developed 3D Maxwell solver, SOLID-CM are made, followed by a juxtaposition of an exact solution, comparisons among existing finite-difference, time-domain (FDTD) Maxwell equation solvers, as well as a comparison to a rigorous coupled wave analysis (RCWA). Finally, benchmarks with focus on CPU consumption will be given in 2D (no material variation in one dimension) compared to two other simulators, both well-known FDTD-based solvers, called VendorA and VendorB. Finally, benchmarks are extended to full 3D geometries using SOLID-CM and VendorA.


26th Annual International Symposium on Microlithography | 2001

CD control for two-dimensional features in future technology nodes

Staf Verhaegen; Ronald L. Gordon; Rik Jonckheere; Martin McCallum; Kurt G. Ronse

A methodology will be presented to use a state-of-the art lithographic simulator to simulate 2D mask patterns and to look at the impact of exposure dose, focus, local reticle CD error and aberrations. This methodology will be applied to a few isolated patterns and a few dense(r) patterns with 1 to 3 aspect ratio line segments. Two line-widths will be simulated with the accompanying illumination condition (130nm with annular and 100nm with quadrupole illumination) with 193nm wavelength and the results will be presented in this paper.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Design, reticle, and wafer OPC manufacturability for the 0.18-μm lithography generation

Kevin D. Lucas; Martin McCallum; Bradley J. Falch; James L. Wood; Franklin D. Kalk; Robert K. Henderson; Drew R. Russell

2D optical proximity correction (OPC) will be a requirement for patterning the 0.18 micrometers lithographic generation with current 0.6NA 248nm wavelength toolsets. This paper analyzes the process transformation of 2D OPC shapes between the design, reticle and wafer stages of patterning 0.18 micrometers random logic circuits. High resolution reticle SEM photos showcase reticle patterning non-linearities which must be understood to fully optimize OPC designs. Experiment and tuned lithography simulation are used to highlight the errors which can occur if these non-linearities are ignored. Significant differences are observed between OPC shapes for brightfield and darkfield features. Comparisons between OPC shapes patterned on electron-beam and optical-laser reticle writing tools are also provided as is a look ahead to the OPC requirements of the 0.15 micrometers generation.


Proceedings of SPIE, the International Society for Optical Engineering | 1999

Optical extension at the 193-nm wavelength

Peter Zandbergen; Martin McCallum; Gilles R. Amblard; Wolf-Dieter Domke; Bruce W. Smith; Lena Zavyalova; John S. Petersen

Lithography at 193nm is the first optical lithography technique that will be introduced for manufacturing of technology levels. where the required dimensions are smaller than the actual wavelength. This paper explores several techniques to extend 193nm to low k1 lithography. Most attention is given to binary mask solution in at 130nm dimensions, where k1 is 0.4. Various strong and Gaussian quadrupole illuminators were designed, manufactured and tested for this application. Strong quadrupoles show that largest DOF improvements. The drawback however, is that these strong quadrupoles are very duty cycle and dimensions specific, resulting in large proximity biases between different duty cycles. Due to their design, Gaussian quadrupoles sample much wider frequency ranges, resulting in less duty cycles specific DOF improvements and less proximity basis. At sub-130nm dimensions, strong phase shift masks provide significant latitude improvements, when compared to binary masks with quadrupole illumination. However, differences in dose to size for different duty cycles were up to 25 percent. For definition of contact holes, linewidth biasing through silylation, a key feature of the CARL bi-layer resist approach, demonstrated significant DOF latitude improvements compared to SLR at 140nm and 160nm contact holes.

Collaboration


Dive into the Martin McCallum's collaboration.

Researchain Logo
Decentralizing Knowledge