Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Masaki Kurokawa is active.

Publication


Featured researches published by Masaki Kurokawa.


Journal of Vacuum Science & Technology B | 2003

Application of electron-beam lithography in manufacturing of magnetic heads

Masaki Kurokawa; Akio Yamada

In this article, we show a practical way to improve overlay accuracy that is consistent with resolution performance for manufacturing magnetic heads by electron-beam lithography. First, we report the electron-beam displacement caused by disturbances in the objective lens magnetic field of lithography equipment when wafers coated with high permeability material are inserted into the immersion objective lens. Then we propose a method that compensates for these displacements by using a compensation map. Next, we apply these corrected values to actual exposure and achieve overlay accuracy of 3 σ<50 nm. We also evaluate the appropriateness of this compensation method by measuring the influence of the magnetic hysteresis of the magnetic material coated wafers and analyzing the influence of the distribution of magnetic material inside the lens.


Proceedings of SPIE | 2012

Optimization of chemically amplified resist for high-volume manufacturing by electron-beam direct writing toward 14nm node and beyond

Jun-ichi Kon; Takashi Maruyama; Yoshinori Kojima; Yasushi Takahashi; Shinji Sugatani; Kozo Ogino; Hiromi Hoshino; Hideaki Isobe; Masaki Kurokawa; Akio Yamada

We investigated a high-resolution chemically amplified resist for introducing a multi-column cell electron-beam directwriting system into the manufacturing of sub-14 nm technology node LSIs. The target of total blur, which leads to an exposure latitude above 10%, is less than 13.6 nm for 14 nm logic node LSIs. We divided the total blur into three terms, forward-scattering, electron-beam and resist. At a 40 nm-thick resist, the forward-scattering blur was calculated as 1.0 nm in lithography simulation, and beam blur was estimated to be 7.1 nm from the patterning results of hydrogen silsesquioxane. We found that there is a proportional relation between resist blur and acid diffusion length by using a new evaluation method that uses a water-soluble polymer. By applying a chemically amplified resist with a short acid diffusion length, resist blur decreased to 14.5 nm. Even though total blur is still 16.2 nm, we have already succeeded in resolving 20 nm line and space patterns at an exposure dose of 79.6 μC/cm2.


Photomask and Next-Generation Lithography Mask Technology XX | 2013

Next generation electron beam lithography system F7000 for wide range applications

Hirofumi Hayakawa; Masahiro Takizawa; Masaki Kurokawa; Akiyoshi Tsuda; Masami Takigawa; Shinichi Hamaguchi; Akio Yamada; Kiichi Sakamoto; Takayuki Nakamura

For multi-purpose applications such as advanced LSIs, photonics, MEMS, and other nano- fabrications, it is important for electron beam (EB) writers that handle the various substrates with their own single mechanical platform. We have been developing the adjusting pallet function both 200mm and 300mm bases to satisfy this requirement. By analyzing actual examples of adjusting pallets we proved their effectiveness to their applications. The combination of adjusting pallet function, 1Xnm resolution column and character projection technologies will enable the next generation EB writer “F7000” to fit from Fab to Lab applications.


Proceedings of SPIE | 2012

Complementary patterning demonstration with e-beam direct writer and spacer DP process of 11nm node

Hideaki Komami; Kenji Abe; Keita Bunya; Hideaki Isobe; Masahiro Takizawa; Masaki Kurokawa; Akio Yamada; Hietami Yaegashi; Kenichi Oyama; Shohei Yamauchi

We successfully demonstrate complementary patterning with self-aligned double patterning (SADP) and currently used e-beam direct writer (EBDW). The complementary patterning is achieved with not only positive type pattern for gate layer but also negative type one for 1st metal (M1) layer at 11nm node. The e-beam exposure is performed by Advantest. SADP process before e-beam exposure and etching after e-beam exposure are performed by Tokyo Electron. This paper also reports EBDW applicability to complementary patterning for 8nm node and beyond in the light of overlay and resolution, and improving plans including shot number reduction.


Proceedings of SPIE | 2011

Position accuracy evaluation of multi-column e-beam exposure system

Masahiro Takizawa; Hideaki Komami; Masaki Kurokawa; Akio Yamada

Authors are developing a 50kV e-beam direct writer MCC8 [1] with 8 column cells that enables a throughput of 5 wafers per hour. By March 2010, the concept of MCC had been proven with manufacturing the proof-of-concept system (MCCPOC; four column cells) in the Mask-D2I project of Association of Super-Advanced Electronics Technologies (ASET). Following the Mask-D2I project, the development has being focused on improving the position accuracy with MCCPOC system as a direct write tool. The effort is expected to bring a smooth transition to MCC8. In this paper, newly equipped correction technologies for improving the position accuracy is introduced; and the exposure results of field stitching, inter column-cell(CC) stitching, and mix-and-match overlay on Si wafer are presented. Almost the same accuracy results among all CCs are obtained. Mix-and-match overlay result is 5 nm in 3-sigma. Although inter-CC stitching is not required in device manufacturing, but it will be shown as a part of pure evaluation of the tool performance with the result of 5 nm in 3-sigma.


Photomask Technology 2012 | 2012

Evaluation of CP shape correction for e-beam writing

Masahiro Takizawa; Keita Bunya; Hideaki Isobe; Hideaki Komami; Kenji Abe; Masaki Kurokawa; Akio Yamada; Kiichi Sakamoto; Takayuki Nakamura; Kazusumi Kuwano; Masahiro Tateishi; Larry L. Chau

Character projection (CP) exposure has some advantages compared with variable shaped beam (VSB) system; (1) shot count reduction by printing complex patterns in one e-beam shot, (2) high pattern fidelity by using CP stencil. In this paper we address another advantage of CP exposure, namely the shape correction of CP stencil for cancelling the pattern deformation on the substrate. The deformation of CP printings is decomposed into some elements. They are CP stencil manufacturing error, proximity effect, beam blur of the e-beam writer and resist blur. The element caused by beam blur of e-beam writer can be predicted by measuring the total beam blur obtained from CD-dose curves. The pattern deformation was corrected by applying the shape correction software system of D2S. The corrected CP stencil of 22nm-node standard cell was manufactured and standard cell patterns were exposed. We confirmed that our shape correction method is the appropriate solution for correcting deformation issue of CP openings. The beam blur required for the 1X nm dimensions was predicted from the exposure results of standard cell patterns with applying shape correction and CD-dose curves. We simulated the optical system to realize the required beam blur. As a result, the next electron optics has the resolving capability of 1X nm dimension.


SPIE Photomask Technology | 2011

CD error budget of CP exposure

Masaki Kurokawa; Hideaki Isobe; Masahiro Takizawa; Keita Bunya; Hideaki Komami; Akio Yamada; Kazuhiko Shiomitsu; Kazuto Oonou

We evaluate the projection fidelity of the Cell Projection (CP) using the Multi column cell (MCC) proof of concept (POC) tool [1-6]. The CP technology is originally developed as a method for reducing the shot counts of E-beam lithography systems. However, the higher repeatability of the shape is expected because the fixed size CP mask openings are used for each pattern. In the process of writing patterns by E-beam, the pattern deformation is inevitable due to the beam blur, proximity effect, and beam shaping error. If the model of beam deformation is established, the correction for the pattern deformation by modifications of CP mask opening shape can be carried out instead of additional shots. As a result, the shot count will be reduced. In this paper, we focused on Corner Rounding (CR) and Line End Shortening (LES) as two-dimensional properties of pattern deformation. Two-dimensional deformation should be decomposed in two components. One is the deformation in the process of CP mask manufacturing, and another is the deformation in the exposure process by e-beam writer tool. CP mask has been manufactured, measured and analyzed by Toppan printing. And using the CP mask, the exposure process error is measured by Advantest. By comparing the results, we evaluate the net amount of CP deformation caused in exposure process. Finally we confirmed the two-dimensional deformation is predictable by blur length that is obtained by one-dimensional CD-dose curve analysis.


Photomask and Next-Generation Lithography Mask Technology XVIII | 2011

EB resolution capability with CP exposure

Masaki Kurokawa; Hideaki Isobe; Kenji Abe; Yoshihisa Oae; Akio Yamada; Shogo Narukawa; Mikio Ishikawa; Hiroshi Fujita; Morihisa Hoga; Naoya Hayashi

We are evaluating the resolution capability of character projection (CP) exposure method using a Multi Colum Cell Proof of Concept (MCC-POC) tool. Resolving of 14nm half pitch (HP) 1:1 line and space (LS) patterns are confirmed with fine openings of a DNP fabricated CP mask for 10:1 de-magnification ratio. CP exposure has been proven to exhibit high resolution capabilities even under the most challenging optimization conditions that are required for throughput enhancement. As a result of evaluating the resolution capability of CP technology, it became apparent that the CP technology has strong potentials to meet future challenges in two areas. One is where an increased number of CP with variable illumination technology gives a higher throughput which has been the main objective behind the development of this technology, and the other is to achieve higher resolution capability that is one of the strengths of CP exposure method. We also evaluated the resolution on Quartz mask blanks instead of Si wafers and obtained 18nm HP 1:1 resolution with CP exposure.


Archive | 1999

Electron beam exposure apparatus and exposure method

Masaki Kurokawa; Tatsuro Ohkawa; Yoshihisa Ooae


Archive | 2001

Esposure method, electron beam exposure apparatus and fabrication method of electronic device

Masaki Kurokawa

Collaboration


Dive into the Masaki Kurokawa's collaboration.

Researchain Logo
Decentralizing Knowledge