Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Mingqi Li is active.

Publication


Featured researches published by Mingqi Li.


Materials Today | 2006

Block copolymer patterns and templates

Mingqi Li; Christopher K. Ober

This review describes the chemical and physical aspects of patternable block copolymers and their use for nanostructure fabrication. The patternability of block copolymers results from their ability to self-assemble into microdomains and the manipulation of these patterns by a variety of physical and chemical means. Procedures for achieving long-range lateral order, as well as orientation order of microdomain patterns, are discussed. The level of control that these strategies afford has enabled block copolymers to be used as templates for fabricating a variety of nanostructures.


Proceedings of SPIE | 2014

New materials for directed self-assembly for advanced patterning

Jieqian Zhang; Janet R. Wu; Mingqi Li; Valeriy V. Ginzburg; Jeffrey D. Weinhold; Michael B. Clark; Peter Trefonas; Phillip D. Hustad

Directed Self-Assembly (DSA) of block copolymers is a candidate advanced patterning technology at future technology nodes. Although DSA promises resolution and cost benefits, a number of constraints and challenges remain for its implementation. Poly(styrene-block-methyl methacrylate) (PS-b-PMMA) has been widely studied in DSA and applied in various applications to demonstrate the potential of DSA to extend optical lithography, including line space and contact hole patterning and uniformity repair,. However, the relatively weak segregation strength of PS-b-PMMA limits its capability to pattern sub-10 nm features. This paper presents the use of strongly segregated high X block copolymers to enable sub-10 nm patterning. Chemoepitaxy DSA with high X lamellar block copolymers is demonstrated with two different strategies based on thermal annealing process and no top coat. These technologies hold promise to enable the implementation of DSA at future technology nodes.


Advanced Materials | 2017

Electrical Performance of a Molecular Organic Semiconductor under Thermal Stress

Martin Seifrid; Michael J. Ford; Mingqi Li; Kyoung Moo Koh; Peter Trefonas; Guillermo C. Bazan

The high temperature performance oforganic field-effect transistorsbased on a molecular organic semiconductor with intermediate dimensions, namely X2, is evaluated. Hole mobility is stable, even at 200-250 °C. Changes in device characteristics at high temperature are reversible across multiple cycles of high temperature operation. Measurements at high temperature exhibit larger hysteresis, while at low temperature one observes the emergence of ambipolar transport.


Proceedings of SPIE | 2015

Development of spin-on metal hardmask (SOMHM) for advanced node

Shintaro Yamada; Deyan Wang; Vivian P. W. Chuang; Cong Liu; Sabrina Wong; Michael B. Clark; Charlotte Cutler; William H. Williams; Paul Baranowski; Mingqi Li; Joe Mattia; JoAnne Leonard; Peter Trefonas; Kathleen O’Connell; Cheng Bai Xu

With the continuous demand for higher performance of computer chips and memories, device patterns and structures are becoming smaller and more complicated. Hard mask processes have been implemented in various steps in the devise manufacturing, and requirements for those materials are versatile. In this paper, novel organometal materials are presented as a new class of spin on solution in order to support the hard mask process. Type of metals, formulation scheme and processing conditions were carefully designed to meet the fundamental requirements as a spin on solution, and their characteristic properties were investigated in comparison to other conventional films such as spin on carbons (SOC), organic bottom anti-reflective coatings (oBARC) and inorganic films formed by chemical vapor deposition (CVD). Several advantages were identified with these SOMHM materials over other films which include 1) better thermal stability than SOC once fully cured, 2) reworkable with industry standard wet chemistry such as SC-1 where conventional Si-BARC is difficult to remove, 3) a wide range of optical constants to suppress reflection for photoresist imaging, 4) high etch resistance and 5) better gap filling property. Curing conditions showed a significant impact on the performance of SOMHM films, and X-ray photoelectron spectroscopy (XPS) was utilized to elucidate the trends. With SOMHM film as a BARC, photolithographic imaging was demonstrated under ArF immersion conditions with 40nm linewidth patterning.


Optical Microlithography XXXI | 2018

Roughness power spectral density as a function of resist parameters and its impact through process

Charlotte Cutler; James W. Thackeray; Jason DeSisto; Choong-Bong Lee; Mingqi Li; Emad Aqad; Xisen Hou; Tomas Marangoni; Joshua Kaitz; Rochelle Rena; Chris A. Mack; John Nelson

Linewidth roughness (LWR) remains a difficult challenge for improvement in all resist materials. In this paper, we intend to focus on the impact of key components of LWR by analyzing the Power Spectral Density (PSD) curves which can be obtained using Fractilia’s MetroLER computational software. We will study systematic changes to ArF resist formulations and correlate these changes to the overall PSD curves. In this manner, we can extract LER/LWR 3σ values as well as resist correlation length and the low/high-frequency roughness components. We will also investigate the relationship between PSD and LWR through lithographic/etch processing and demonstrate which components correspond with the largest impact. In order to achieve quality data over low and high frequency ranges we changed our standard metrology setup to capture longer lines. By making systematic changes to the ArF resists, we can determine the key impacts of various controllable resist factors on the PSD. Through systematic analysis, we can deconvolute LWR improvements both after develop and after an etch process.


Proceedings of SPIE | 2016

Chemical trimming overcoat: an enhancing composition and process for 193nm lithography

Cong Liu; Kevin Rowell; Lori Anne Joesten; Paul Baranowski; Irvinder Kaur; Wanyi Huang; JoAnne Leonard; Hae-Mi Jeong; Kwang-Hwyi Im; Tom Estelle; Charlotte Cutler; Gerd Pohlers; Wenyan Yin; Patricia Fallon; Mingqi Li; Hyun K. Jeon; Cheng Bai Xu; Pete Trefonas

As the critical dimension of devices is approaching the resolution limit of 193nm photo lithography, multiple patterning processes have been developed to print smaller CD and pitch. Multiple patterning and other advanced lithographic processes often require the formation of isolated features such as lines or posts by direct lithographic printing. The formation of isolated features with an acceptable process window, however, can pose a challenge as a result of poor aerial image contrast at defocus. Herein we report a novel Chemical Trimming Overcoat (CTO) as an extra step after lithography that allows us to achieve smaller feature size and better process window.


Advances in Patterning Materials and Processes XXXV | 2018

Chemical trimming overcoat: an advanced composition and process for photoresist enhancement in lithography

Xisen Hou; Cong Liu; Kevin Rowell; Irvinder Kaur; Mingqi Li; Paul Baranowski; Jong Park; Cheng Bai Xu

In the semiconductor manufacturing industry, photoresist materials are used for transferring an image to one or more underlying layers. To increase the integration density of semiconductor devices and reduce cost of ownership, continuous development efforts towards advanced lithography processes, such as multiple patterning methods, have been devoted to reduce critical dimension. Multiple patterning processes, however, often encounter challenges to obtain an appreciable process window due to the poor aerial image contrast at the defocus region, not to mention the complexity in process and high cost. Herein, we report a novel CTOTM photoresist trimming solution as a post-lithography spin-on method to enhance photoresist performance in not only effectively reducing critical dimension, but also enabling larger process window, lower line width roughness, less scum and lower defectivity. This is a versatile process that is compatible with both acrylic and polyhydroxystyrene types of photoresists, therefore allowing it to become a general process for a wide range of applications across ArF, KrF and EUV lithography.


Proceedings of SPIE | 2017

Advanced hole patterning technology using soft spacer materials (Conference Presentation)

Christoph K. Hohle; Roel Gronheid; Jong Keun Park; Phillip D. Hustad; Emad Aqad; David Valeri; Mike Wagner; Mingqi Li

A continuing goal in integrated circuit industry is to increase density of features within patterned masks. One pathway being used by the device manufacturers for patterning beyond the ~80nm pitch limitation of 193 immersion lithography is the self-aligned spacer double patterning (SADP). Two orthogonal line space patterns with subsequent SADP can be used for contact holes multiplication. However, a combination of two immersion exposures, two spacer deposition processes, and two etch processes to reach the desired dimensions makes this process expensive and complicated. One alternative technique for contact hole multiplication is the use of an array of pillar patterns. Pillars, imaged with 193 immersion photolithography, can be uniformly deposited with spacer materials until a hole is formed in the center of 4 pillars. Selective removal of the pillar core gives a reversal of phases, a contact hole where there was once a pillar. However, the highly conformal nature of conventional spacer materials causes a problem with this application. The new holes, formed between 4 pillars, by this method have a tendency to be imperfect and not circular. To improve the contact hole circularity, this paper presents the use of both conventional spacer material and soft spacer materials. Application of soft spacer materials can be achieved by an existing coating track without additional cost burden to the device manufacturers.


Proceedings of SPIE | 2015

Impact of materials selection on graphoepitaxial directed self-assembly for line-space patterning

Dung Quach; Valeriy V. Ginzburg; Mingqi Li; Janet R. Wu; Shih-Wei Chang; Peter Trefonas; Phillip D. Hustad; Dan B. Millward; Gurpreet S. Lugani; Scott L. Light

Directed self-assembly (DSA) of block copolymers (BCPs) is a promising technology for advanced patterning at future technology nodes, but significant hurdles remain for commercial implementation. While chemoepitaxy processes employing poly(styrene-block-methyl methacrylate) (PS-PMMA) are most widely studied for DSA line/space patterning, graphoepitaxy processes using more strongly segregated “high-X;” block copolymers have recently shown a lot of promise, with lower defectivity and line-width roughness (LWR) than comparative chemoepitaxy processes. This paper reports on some of the design considerations for optimizing line/space patterning with these materials. We have found that brush and block copolymer selection are critical to achieve high quality DSA. For example, brush thickness must be optimized to achieve matching space critical dimensions, and brush surface energy impacts kinetics of assembly. The X parameter of the block copolymer should be optimized to balance LWR, kinetics of assembly, and process window. Glass transition temperature (Tg) of the blocks showed little impact on performance. Overall, parameters of both BCP and brush must be simultaneously optimized to achieve high quality DSA.


Proceedings of SPIE | 2013

High scan speed EBL containing contact hole resists with low defectivity

Tsung Ju Yeh; Lian Cong Liu; Yeh-Sheng Lin; Wei-Sheng Chen; Che-Yi Lin; Chia Hung Lin; Chun Chi Yu; Deyan Wang; Mingqi Li; Chunfeng Guo; Rick Hardy; Tom Estelle; Cheng-Bai Xu; George G. Barclay; Peter Trefonas; Kathleen M. O'Connell

In the previous paper we discussed the relationship between blob defect count and the receding angle of a resist surface after development with an alkaline developer solution. This paper summarizes additional test results from our continued efforts in developing next generation embedded barrier layer (EBL) materials that render a resist film with even higher receding angle to further facilitate high speed and high acceleration scanning. How to reach a higher receding angle without sacrificing a low post development receding angle is also discussed in this paper. The ability for an EBL material to switch from a high receding angle to a receding angle of lower than 20° upon development is considered a very important attribute of an EBL, which is the key to reduce blob defect count by ensuring good dynamic wetting of a resist surface to DI water during a post development rinsing step. Resist formulations with different receding angles were studied for lithography performance and defectivity under different process conditions with varying wet processes. Both good lithography performance and low defectivity were obtained for contact hole resists including those with a surface receding angle of 78°.

Collaboration


Dive into the Mingqi Li's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Cong Liu

Dow Chemical Company

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge