Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Woo-Kyu Kim is active.

Publication


Featured researches published by Woo-Kyu Kim.


Advances in resist technology and processing. Conference | 2005

Resist component leaching in 193 nm immersion lithography

Ralph R. Dammel; Georg Pawlowski; Andrew Romano; Frank Houlihan; Woo-Kyu Kim; Raj Sakamuri; David J. Abdallah

The leaching of ionic PAGs from model resist films into a static water volume is shown to follow first order kinetics. From the saturation concentration and the leaching time constant, the leaching rate at time zero is obtained which is a highly relevant parameter for evaluating lens contamination potential. The levels of leaching seen in the model resists generally exceed both static and rate-based dynamic leaching specifications. The dependence of leaching on anion structure shows that more hydrophobic anions have lower saturation concentration; however, the time constant of leaching increases with anion chain length. Thus in our model system, the initial leaching rates of nonaflate and PFOS anions are identical. Investigation of a water pre-rinse process unexpectedly showed that some PAG can still be leached from the surface although the pre-rinse times greatly exceeded the times required for saturation of the leaching phenomenon, which are expected to correspond to complete depletion of leachable PAG from the surface. A model is proposed to explain this phenomenon through re-organization of the surface as the surface energy changes during the air/water/air contact sequence of the pre-rinse process.


Advances in resist technology and processing. Conference | 2005

Study of barrier coats for application in immersion 193-nm lithography

Francis M. Houlihan; Woo-Kyu Kim; Raj Sakamuri; Keino Hamilton; Alla Dimerli; David J. Abdallah; Andrew Romano; Ralph R. Dammel; Georg Pawlowski; Alex K. Raub; S. R. J. Brueck

We will describe our barrier coat approach for use in immersion 193 nm lithography. These barrier coats may act as either simple barriers providing protection against loss of resist components into water or in the case of one type of these formulations which have a refractive index at 193 nm which is the geometric mean between that of the resist and water provide, also top antireflective properties. Either type of barrier coat can be applied with a simple spinning process compatible with PGMEA based resin employing standard solvents such as alcohols and be removed during the usual resist development process with aqueous 0.26 N TMAH. We will discuss both imaging results with these materials on acrylate type 193 nm resists and also show some fundamental studies we have done to understand the function of the barrier coat and the role of differing spinning solvents and resins. We will show LS (55 nm) and Contact Hole (80 nm) resolved with a 193 nm resist exposed with the interferometric tool at the University of New Mexico (213 nm) with and without the use of a barrier coat.


Proceedings of SPIE | 2008

Etching spin-on trilayer masks

David J. Abdallah; Shinji Miyazaki; Aritaka Hishida; Allen Timko; Douglas Mckenzie; Dalil Rahman; Woo-Kyu Kim; Lyudmila Pylneva; Hengpeng Wu; Ruzhi Zhang; Ping-Hung Lu; Mark Neisser; Ralph R. Dammel

Spin-on trilayer materials are increasingly being integrated in high density microfabrication that use high NA ArF lithography due to dwindling photoresist film thicknesses, lower integration cost and reduced complexity compared to analogous CVD stacks. To guide our development in spin-on trilayer materials we have established etch conditions on an ISM etcher for pattern transfer through trilayer hard masks. We report here a range of etch process variables and their impact on after-etch profiles and etch selectivity with AZ trilayer hard mask materials. Trilayer pattern transfer is demonstrated using 1st and 2nd minimum stacks with various pattern types. Etch recipes are then applied to blanket coated wafers to make comparisons between etch selectivities derived from patterned and blanket coated wafers.


Proceedings of SPIE | 2007

Spin-on trilayer approaches to high NA 193nm lithography

David J. Abdallah; Douglas Mckenzie; Allen Timko; Alberto D. Dioses; Frank Houlihan; Dalil Rahman; Shinji Miyazaki; Ruzhi Zhang; Woo-Kyu Kim; Hengpeng Wu; Lyudmila Pylneva; Ping-Hung Lu; Mark Neisser; Ralph R. Dammel; John J. Biafore

New challenges face ArF bottom antireflection coatings (BARCs) with the implementation of high NA lithography and the concurrent increase use of spin-on hard masks. To achieve superior reflectivity control with high NA at least two semi-transparent ARC layers, with distinct optical indices, are necessary to effectively lower substrate reflectivity through a full range of incident angles. To achieve successful pattern transfer, these layers in conjunction with the organic resist, should be stacked with an alternating elemental composition to amplify vertical resolution during etch. This will circumvent the inherent low etch resistance of ArF resist and the decreasing film thicknesses that accompanies increasing NA. Thus, incorporating hard mask properties and antireflection properties in the same two layer system facilitates pattern transfer as a whole rather than just enhancing lithography. As with any material expected to exhibit multiple roles there is a delicate balance between optimizing materials with respect to one of its roles while not impairing its other roles. We will discuss some of these conflicts and present Si-BARCs and carbon rich underlayers which aim to balance these conflicts. In this paper we will explore simulations aimed at finding the best film thicknesses and optical indices, etch rate selectivity, and lithographic performance of high silicon content and high carbon content BARC materials designed to meet the demands of both high NA lithography and trilayer processing.


Archive | 2008

Antireflective Coating Composition Comprising Fused Aromatic Rings

Francis M. Houlihan; David J. Abdallah; M. Dalil Rahman; Douglas Mckenzie; Ruzhi Zhang; Allen Timko; Woo-Kyu Kim; Ping-Hung Lu


Archive | 2007

Silicone coating composition

Hengpeng Wu; Woo-Kyu Kim; Hong Zhuang; Ping-Hung Lu; Mark Neisser; David J. Abdallah; Ruzhi Zhang


Archive | 2007

Antireflective Coating Compositions Comprising Siloxane Polymer

Ruzhi Zhang; Mark Neisser; Woo-Kyu Kim; David J. Abdallah; Francis M. Houlihan; Ping-Hung Lu; Hong Zhuang


Archive | 2011

Underlayer composition and process thereof

Huirong Yao; Guanyang Lin; Zachary Bogusz; Ping-Hung Lu; Woo-Kyu Kim; Mark Neisser


Journal of Photopolymer Science and Technology | 2005

Leaching Phenomena and their Suppresion in 193 nm Immersion Lithography

Ralph R. Dammel; Georg Pawlowski; Andrew Romano; Frank M. Hoolihan; Woo-Kyu Kim; Raj Sakmuri; David J. Abdallah; Murirathna Padmanaban; M. Dalil Rahman; Douglas Mckenzie


Archive | 2008

Silicon-based antireflective coating compositions

Ruzhi Zhang; Woo-Kyu Kim; David J. Abdallah; Ping-Hung Lu; Mark Neisser; Ralph R. Dammel; Ari Karkkainen

Collaboration


Dive into the Woo-Kyu Kim's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Ping-Hung Lu

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar

Mark Neisser

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar

Ruzhi Zhang

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Hengpeng Wu

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar

Dalil Rahman

AZ Electronic Materials

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge