Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Naonori Kita is active.

Publication


Featured researches published by Naonori Kita.


Journal of Micro-nanolithography Mems and Moems | 2013

Impact of realistic source shape and flexibility on source mask optimization

Hajime Aoyama; Yasushi Mizuno; Noriyuki Hirayanagi; Naonori Kita; Ryota Matsui; Hirohiko Izumi; Keiichi Tajima; Joachim Siebert; Wolfgang Demmerle; Tomoyuki Matsuyama

Abstract. Source mask optimization (SMO) is widely used to make state-of-the-art semiconductor devices in high-volume manufacturing. To realize mature SMO solutions in production, the Intelligent Illuminator, which is an illumination system on a Nikon scanner, is useful because it can provide generation of freeform sources with high fidelity to the target. Proteus SMO, which employs co-optimization method and an insertion of validation with mask three-dimensional effect and resist properties for an accurate prediction of wafer printing, can take into account the properties of Intelligent Illuminator. We investigate an impact of the source properties on the SMO to pattern of a static random access memory. Quality of a source made on the scanner compared to the SMO target is evaluated with in-situ measurement and aerial image simulation using its measurement data. Furthermore, we discuss an evaluation of a universality of the source to use it in multiple scanners with a validation and with estimated value of scanner errors.


Proceedings of SPIE | 2010

Tolerancing analysis of customized illumination for practical applications of source and mask optimization

Tomoyuki Matsuyama; Naonori Kita; Toshiharu Nakashima; Osamu Tanitsu; Soichi Owa

Due to the extremely small process window in the 32nm feature generation and beyond, it is necessary to implement active techniques that can expand the process window and robustness of the imaging against various kinds of imaging parameters. Source & Mask Optimization (SMO) 1 is a promising candidate for such techniques. Although many applications of SMO are expected, tolerancing and specifications for aggressively customized illuminators have not been discussed yet. In this paper we are going to study tolerancing of a freeform pupilgram which is a solution of SMO. We propose Zernike intensity/distortion modulation method to express pupilgram errors. This method may be effective for tolerancing analysis and defining the specifications for freeform illumination. Furthermore, this method is can be applied to OPE matching of free form illumination source.


Proceedings of SPIE | 2010

Illumination optics for source-mask optimization

Yasushi Mizuno; Tomoyuki Matsuyama; Soichi Owa; Osamu Tanitsu; Naonori Kita; Masahiko Okumura

Source Mask Optimization (SMO) 1 is proposed and being developed for the 32 nm generation and beyond in order to extend dose / focus margin by simultaneous optimization of the illuminator source shape and a customized mask. For several years now, mask optimization techniques have been improving. At the same time, the flexibility of the illuminator must also be improved, leading to more complex illumination shapes. As a result, pupil fill is moving from a parametric model defined by sigma value, ratio, clocking angle, subtended angle and/or, pole balance, to a freeform condition with gray scale defined by light intensity in the illuminator. We have evaluated an intelligent illuminator in order to meet requirements of SMO. Then we have confirmed controllability of the pupilgram.


Journal of Micro-nanolithography Mems and Moems | 2009

Residual speckle in a lithographic illumination system

Gregg M. Gallatin; Naonori Kita; Tomoko Ujike; Bill Partlo

Finite bandwidth and finite exposure time place a fundamental limit on dose uniformity. We evaluate the amplitude and spatial distribution of this residual speckle in a given type of lithographic illumination system. For nominal bandwidths and exposure times, the level of dose nonuniformity is on the order of several percent. We argue that this effect actually makes only a small contribution to line edge roughness.


Metrology, inspection, and process control for microlithography. Conference | 2006

Integrated projecting optics tester for inspection of immersion ArF scanner

Toru Fujii; Kosuke Suzuki; Yasushi Mizuno; Naonori Kita

Immersion lithography has been intensively developed to print features, such as isolated lines and isolated spaces, which are smaller than 35 nm, with good depth of focus at a vacuum wavelength of 193 nm. Because the wavelength of the light in a liquid is reduced from the vacuum wavelength, the numerical aperture, i.e. the resolution (lambda/2NA) can be improved by a factor of the index of refraction of the liquid. At the end of 2005, Nikon scanner achieved 47nm L and S pattern. In order to utilize daily this performance of the immersion lithography apparatus with well-defined resolution enhancement technique in factory to its maximum content, optical parameters such as lens aberration, illuminator NA, pupil-fill annular ratio, and polarization status are to be measured and controlled more accurately than ever. To meet that need, an integrated projecting optics tester (iPot) for an in-situ inspection of wavefront aberration with calibration method to achieve high accurate measurement has been developed. The performance meets the required 47nm L&S pattern while the numerical aperture of immersion projection lens is larger than 1. The deviation between the averaged absolute value of the Zernike coefficient was 0.0022 lambda (0.42 nm). The deviation of the averaged absolute value of the coefficient in the scanned field is 0.0010 lambda (0.19 nm). Measured ratio of specific polarization (RSP) values of H and V polarized illuminated sections are 0.974 and 0.973, respectively. Projection lens with the low birefringence designed value is consistent with the measured value of RSP and the wavefront illuminated by linear polarizing light.


Proceedings of SPIE | 2013

Illumination pupilgram control using an intelligent illuminator

Noriyuki Hirayanagi; Yasushi Mizuno; Masakazu Mori; Naonori Kita; Ryota Matsui; Tomoyuki Matsuyama

Nikon’s Intelligent Illuminator, a freeform pupilgram generator, realizes a high flexibility for pupilgram control by using more than 10,000 degrees-of-freedom for pupilgram adjustment. In this work, an Intelligent Illuminator was integrated into an ArF scanner, the Nikon NSR-S621D. We demonstrate the pupilgram setting accuracy by direct correlation between on-body measured pupilgram and desired target pupilgram. We show that the Intelligent Illuminator is used for fine tuning of the pupilgram to match optical proximity effect (OPE) characteristics. We experimentally confirmed that a global source optimization software realized an improvement of lithographic process window without changing OPE characteristics by using optimized pupilgram made by Intelligent Illuminator.


Proceedings of SPIE | 2014

Scanner performance predictor and optimizer in further low-k1 lithography

Hajime Aoyama; Toshiharu Nakashima; Taro Ogata; Shintaro Kudo; Naonori Kita; Junji Ikeda; Ryota Matsui; Hajime Yamamoto; Ayako Sukegawa; Katsushi Makino; Masayuki Murayama; Kazuo Masaki; Tomoyuki Matsuyama

Due to the importance of errors in lithography scanners, masks, and computational lithography in low-k1 lithography, application software is used to simultaneously reduce them. We have developed “Masters” application software, which is all-inclusive term of critical dimension uniformity (CDU), optical proximity effect (OPE), overlay (OVL), lens control (LNS), tool maintenance (MNT) and source optimization for wide process window (SO), for compensation of the issues on imaging and overlay. In this paper, we describe the more accurate and comprehensive solution of OPE-Master, LNS-Master and SO-Master with functions of analysis, prediction and optimization. Since OPE-Master employed a rigorous simulation, a root cause of error in OPE matching was found out. From the analysis, we had developed an additional knob and evaluated a proof-of- concept for the improvement. Influence of thermal issues on projection optics is evaluated with a heating prediction, and an optimization with scanner knobs on an optimized source taken into account mask 3D effect for obtaining usable process window. Furthermore, we discuss a possibility of correction for reticle expansion by heating comparing calculation and measurement.


Proceedings of SPIE | 2013

Global source optimization for MEEF and OPE

Ryota Matsui; Tomoya Noda; Hajime Aoyama; Naonori Kita; Tomoyuki Matsuyama; Donis G. Flagello

This work describes freeform source optimization considering mask error enhancement factor (MEEF), optical proximity effect (OPE), process window, and hardware-specific constraints. Our algorithm allows users to define maximum allowed MEEF and OPE error as constraints without defining weights among the metrics. We also consider hardware specific constraints, so that the optimized source is suitable to be realized in Nikon’s Intelligent Illumination hardware. Our approach utilizes a global optimization procedure to arrive at a freeform source shape solution, and since each source grid-point is assigned as variable, the source solution encompasses the maximum amount of degrees of freedom.


Proceedings of SPIE | 2011

Illuminator predictor for effective SMO solutions

Daniel Smith; Naonori Kita; Nobumichi Kanayamaya; Ryota Matsui; Shane R. Palmer; Tomoyuki Matsuyama; Donis G. Flagello

Source Mask Optimization (SMO) is one of the most important techniques available for extending ArF immersion lithography1. However, imaging with a small k12 factor (~0.3 or smaller) is very sensitive to errors in the illumination pupil2. As a result, care must be taken to insure that the source solution from SMO can be produced by the real illuminator, which is subject to its own imaging constraints. One approach is to include an illuminator simulator in the SMO loop so that only realizable illumination pupils are considered during optimization. Furthermore, any illumination pupil predictor used in SMO should operate quickly compared to the imaging simulation if it is to avoid increasing the computational load.


Proceedings of SPIE | 2007

Polarization properties of state-of-art lithography optics represented by first canonical coordinate of Lie group

Toru Fujii; Yuji Kudo; Yasuhiro Ohmura; Kosuke Suzuki; Jun Kogo; Yasushi Mizuno; Naonori Kita; Masayasu Sawada

The polarization characteristics of the state-of-art of optical lithography equipment are approximately ideal, i.e., in general only small polarization changes are induced by optical elements. Because of that, the polarization matrices of the optics are close to the unit element, which can be represented using the first canonical coordinate of a Lie group. The four-matrix basis of real general linear group of degree two is classified from a geometrical point of view. The complex versions of the four matrices are added to the four real matrices to obtain the basis of Lie ring of two-dimensional complex linear group, which is sufficient for physically possible polarization transformations. Each geometrical basis matrix generates non-Jones space of easy to understand individual optical phenomena. We propose a new physical polarization representation of projection optics for microlithography, which has eight real parameters, suitable for conventional pupil representation, with individual real optical characteristics applicable to optical elements. Pupil maps of a simulated projection lens whose polarization aberration and diattenuation induced by compensated intrinsic birefringence of CaF2 lens elements, are shown using the representation.

Researchain Logo
Decentralizing Knowledge