Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Noel Arellano is active.

Publication


Featured researches published by Noel Arellano.


ACS Nano | 2013

Pattern Placement Accuracy in Block Copolymer Directed Self-Assembly Based on Chemical Epitaxy

Gregory S. Doerk; Chi-Chun Liu; Joy Cheng; C. T. Rettner; Jed W. Pitera; Leslie E. Krupp; Teya Topuria; Noel Arellano; Daniel P. Sanders

The realization of viable designs for circuit patterns using the dense features formed by block copolymer directed self-assembly (DSA) will require a precise and quantitative understanding of self-assembled feature registration to guiding templates or chemical prepatterns. Here we report measurements of DSA placement error for lamellar block copolymer domains indexed to specific lines in the surface chemical prepattern for spatial frequency tripling and quadrupling. These measurements are made possible by the use of an inorganic domain-selective prepattern material that may be imaged upon polymer removal after DSA and a prepattern design incorporating a single feature serving as an in situ registration mark that is identifiable by pattern symmetry in both the prepattern and resulting self-assembled pattern. The results indicate that DSA placement error is correlated with average prepattern line width as well as prepattern pitch uniformity. Finally, the magnitude of DSA placement error anticipated for a uniform, optimized prepattern is estimated.


ACS Nano | 2011

Transfer molding of nanoscale oxides using water-soluble templates.

John D. Bass; Charles D. Schaper; C. T. Rettner; Noel Arellano; Fahhad H. Alharbi; Robert D. Miller; Ho-Cheol Kim

We report a facile method for creating nanoscopic oxide structures over large areas that is capable of producing high aspect ratio nanoscale structures with feature sizes below 50 nm. A variety of nanostructured oxides including TiO(2), SnO(2) and organosilicates are formed using sol-gel and nanoparticle precursors by way of molding with water-soluble polymeric templates generated from silicon masters. Sequential stacking techniques are developed that generate unique 3-dimensional nanostructures with combinatorially mixed geometries, scales, and materials. Applicable to a variety of substrates, this scalable method allows access to a broad range of new thin film morphologies for applications in devices, catalysts, and functional surface coatings.


Proceedings of SPIE | 2012

Progress towards the integration of optical proximity correction and directed self-assembly of block copolymers with graphoepitaxy

Chi-Chun Liu; Jed W. Pitera; Neal Lafferty; Kafai Lai; C. T. Rettner; Melia Tjio; Noel Arellano; Joy Cheng

A photomask design flow for generating guiding patterns used in graphoepitaxial DSA processes is proposed and tested. In this flow, a new fast DSA model is employed for DSA structure verification. The execution speed and accuracy of the fast model were benchmarked with our previously reported Monte Carlo method. We demonstrated the process window verification using the OPC/DSA flow with the fast DSA model and compared this with experimental results in the guiding patterns simulated by e-beam lithography.


Proceedings of SPIE | 2013

Deterministically isolated gratings through the directed self-assembly of block copolymers

Gregory S. Doerk; Joy Cheng; C. T. Rettner; Srinivasan Balakrishnan; Noel Arellano; Daniel P. Sanders

Pattern customization is a necessary requirement to achieve circuit-relevant patterns using block copolymer directed self-assembly (DSA), but the edge-placement error associated with customization steps after DSA is anticipated to be at the scale of the pattern features, particularly as a result of overlay error. Here we present a new self-aligned approach to the customization of line-space patterns fabricated through chemical epitaxy. A partially inorganic chemical pattern contains a prepattern with pinning lines and non-guiding “blockout” features to which the block copolymer domains are aligned. Pattern transfer results in a line-space pattern with self-aligned customizations directly determined by the prepattern. In the transferred pattern, pinning lines determine the placement of single-line gaps while blockout features determine the placement and size of perpendicular trim across lines. By using designed two-dimensional chemical patterns, this self-aligned, bidirectional customization scheme enables the fabrication of high-resolution circuit-relevant patterns with fewer trim/exposure steps.


Proceedings of SPIE | 2015

Customization and design of directed self-assembly using hybrid prepatterns

Joy Cheng; Gregory S. Doerk; C. T. Rettner; Gurpreet Singh; Melia Tjio; Hoa Truong; Noel Arellano; Srinivasan Balakrishnan; Markus Brink; Hsinyu Tsai; Chi-Chun Liu; Michael A. Guillorn; Daniel P. Sanders

Diminishing error tolerance renders the customization of patterns created through directed self-assembly (DSA) extremely challenging at tighter pitch. A self-aligned customization scheme can be achieved using a hybrid prepattern comprising both organic and inorganic regions that serves as a guiding prepattern to direct the self-assembly of the block copolymers as well as a cut mask pattern for the DSA arrays aligned to it. In this paper, chemoepitaxy-based self-aligned customization is demonstrated using two types of organic-inorganic prepatterns. CHEETAH prepattern for “CHemoepitaxy Etch Trim using a self-Aligned Hardmask” of preferential hydrogen silsesquioxane (HSQ, inorganic resist), non-preferential organic underlayer is fabricated using electron beam lithography. Customized trench or hole arrays can be achieved through co-transfer of DSA-formed arrays and CHEETAH prepattern. Herein, we also introduce a tone-reversed version called reverse-CHEETAH (or rCHEETAH) in which customized line segments can be achieved through co-transfer of DSA-formed arrays formed on a prepattern wherein the inorganic HSQ regions are nonpreferential and the organic regions are PMMA preferential. Examples of two-dimensional self-aligned customization including 25nm pitch fin structures and an 8-bar “IBM” illustrate the versatility of this customization scheme using rCHEETAH.


Proceedings of SPIE | 2012

Investigation of pattern wiggling for spin-on organic hardmask materials

Goji Wakamatsu; Kentaro Goto; Yoshi Hishiro; Taiichi Furukawa; Satoru Murakami; Masayuki Motonari; Yoshikazu Yamaguchi; Tsutomu Shimokawa; Greg Breyta; Anuja DeSilva; Noel Arellano; Luisa D. Bozano; Carl E. Larson; Martin Glodde; Ratnam Sooriyakumaran

Semiconductor manufacturing technology is currently undergoing a transformation from immersion photolithography to double patterning or EUV technology. The resultant resist dimensional size and height shrinks will require improved pattern transfer techniques and materials. Underlayer (UL) processes which include chemical vapor deposition (CVD) and spin-on application play a very important role in various chip manufacturing integration schemes. A pattern wiggling problem during substrate etch has arisen as a critical issue when pattern dimensions shrink. CVD processes have shown better pattern transfer performance than spin-on processes but at higher cost and process complexity along with difficulty in obtaining planarization and good gap fill. Thus spin-on process development has received increased attention recently as an attractive alternative to CVD processing. In this work we focus on elucidating the mechanism of UL wiggling and have synthesized materials that address several hypothesized mechanisms of failure: hydrogen content, modulus, film density, charge control unit type and thermal resistance. UL materials with high thermal resistance additionally provide the ability to expand the applicability of spin-on approaches. Material properties and wiggle failure test results will be discussed.


Proceedings of SPIE | 2012

Measurement of placement error between self-assembled polymer patterns and guiding chemical prepatterns

Gregory S. Doerk; Chi-Chun Liu; Joy Cheng; C. T. Rettner; Jed W. Pitera; Leslie E. Krupp; Teya Topuria; Noel Arellano; Daniel P. Sanders

Extensive pattern customization will be necessary to realize viable circuit patterns from line-space arrays generated by block copolymer directed self assembly (DSA). In pattern customization with regard to chemical epitaxy of lamellar block copolymers, quantitative and precise knowledge of DSA-feature registration to the chemical prepattern is critical. Here we measure DSA pattern placement error for spatial frequency tripling and quadrupling indexed to specific lines in the chemical prepattern. A range of prepattern line widths where minimal DSA placement error can be expected is identified, and a positive correlation between DSA placement accuracy and prepattern uniformity is shown. Considering the experimental non-idealities present in the chemical prepatterns used in this work that arise from using electron-beam lithography, we anticipate that 3σ DSA placement errors will be at a minimal level if highly uniform chemical prepatterns produced by optical lithography are used.


Proceedings of SPIE | 2016

High chi block copolymer DSA to improve pattern quality for FinFET device fabrication

Hsinyu Tsai; Hiroyuki Miyazoe; Ankit Vora; Teddie Magbitang; Noel Arellano; Chi Chun Liu; Michael J. Maher; William J. Durand; Simon Dawes; James J. Bucchignano; Lynne M. Gignac; Daniel P. Sanders; Eric A. Joseph; Matthew E. Colburn; C. Grant Willson; Christopher J. Ellison; Michael A. Guillorn

Directed self-assembly (DSA) with block-copolymers (BCP) is a promising lithography extension technique to scale below 30nm pitch with 193i lithography. Continued scaling toward 20nm pitch or below will require material system improvements from PS-b-PMMA. Pattern quality for DSA features, such as line edge roughness (LER), line width roughness (LWR), size uniformity, and placement, is key to DSA manufacturability. In this work, we demonstrate finFET devices fabricated with DSA-patterned fins and compare several BCP systems for continued pitch scaling. Organic-organic high chi BCPs at 24nm and 21nm pitches show improved low to mid-frequency LER/LWR after pattern transfer.


Proceedings of SPIE | 2015

Directed self-assembly of topcoat-free, integration-friendly high- x block copolymers

Eri Hirahara; Margareta Paunescu; Orest Polishchuk; EunJeong Jeong; Edward Ng; Jianhui Shan; Jihoon Kim; SungEun Hong; Durairaj Baskaran; Guanyang Lin; Ankit Vora; Melia Tjio; Noel Arellano; C. T. Rettner; Elizabeth Lofano; Chi-Chun Liu; Hsinyu Tsai; Anindarupa Chunder; Khanh Nguyen; Alexander Friz; Amy N. Bowers; Srinivasan Balakrishnan; Joy Cheng; Daniel P. Sanders

To extend scaling beyond poly(styrene-b-methyl methacrylate) (PS-b-PMMA) for directed self-assembly (DSA), high quality organic high-x block copolymers (HC series) were developed and applied to implementation of sub-10 nm L/S DSA. Lamellae-forming block copolymers (BCPs) of the HC series showed the ability to form vertically oriented polymer domains conveniently with the in-house PS-r-PMMA underlayers (AZEMBLY EXP NLD series) without the use of an additional topcoat. The orientation control was achieved with low bake temperatures (≤200 °C) and short bake times (≤5 min). Also, these process-friendly materials are compatible with existing 193i-based graphoepitaxy and chemoepitaxy DSA schemes. In addition, it is notable that 8.5 nm organic lamellae domains were amenable to pattern development by simple dry etch techniques. These successful demonstrations of high-x L/S DSA on 193i-defined guiding patterns and pattern development can offer a feasible route to access sub-10 nm node patterning technology.


Proceedings of SPIE | 2014

Spin-on organic hardmask for topo-patterned substrate

Kazuhiko Komura; Yoshi Hishiro; Goji Wakamatsu; Yoshio Takimoto; Tomoki Nagai; Tooru Kimura; Yoshikazu Yamaguchi; Tsutomu Shimokawa; Greg Breyta; Noel Arellano; Srinivasan Balakrishnan; Luisa D. Bozano; Ananthakrishnan Sankaranarayanan; Krishna M. Bajjuri; Daniel P. Sanders; Carl E. Larson; Anuja DeSilva; Martin Glodde

Carbon rich hard mask underlayer (UL) material deposition has become inevitable process in all advanced lithography applications. UL processes which include chemical vapor deposition (CVD) and spin-on UL play a very important role for pattern transfer from patterned thin photoresist to the substrate. UL materials must satisfy several requirements, which have become more demanding with device shrinkage and increasing device complexity (FinFET, 3D integration). The most important properties of next generation UL materials are superior wiggle resistance, etch controllability, thermal resistance, planarization, and gap filling performance. In particular, planarization and gap fill properties of UL material for application on topo-patterned substrate are receiving much attention recently. CVD processes generally give better wiggle performance and thermal resistance, but poorer planarization and gap filling performance than spin-on UL processes. In addition, Cost of Ownership (CoO) of CVD process is higher than that of a spin-on UL process. Therefore spin-on organic hard mask (OHM) process has been investigated as an attractive alternative to CVD processing. In this paper, we focus on an investigation of key properties of spin-on UL materials for achieving good planarity and gap filling performance on topo-patterned substrate. Various material properties such as solution viscosity, glass transition temperature (Tg), and film shrinkage ratio were evaluated and correlations between these properties and planarization were discussed.

Researchain Logo
Decentralizing Knowledge