Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Noriaki Kandaka is active.

Publication


Featured researches published by Noriaki Kandaka.


Journal of Vacuum Science & Technology B | 2004

Development of projection optics set-3 for high-numerical-aperture extreme ultraviolet exposure tool (HiNA)

Tetsuya Oshino; Shin-ichi Takahashi; Takahiro Yamamoto; Tatsuya Miyoshi; Masayuki Shiraishi; Takaharu Komiya; Noriaki Kandaka; Hiroyuki Kondo; Kiyoto Mashima; Kazushi Nomura; Katsuhiko Murakami; Takeshi Okuyama; Hiroaki Oizumi; Iwao Nishiyama; Shinji Okazaki

We have developed a high-numerical-aperture extreme ultraviolet exposure tool (HiNA). HiNA is equipped with an illumination system, projection optics, a mask stage, and a wafer stage in the vacuum chamber. The projection optics consist of two aspherical mirrors (M1 and M2). The numerical aperture of the optics is 0.3. Thus far, we fabricated two sets of projection optics (set-1 and set-2). The wave-front errors of set-1 and set-2 were 7.5 and 1.9nm rms, respectively. We developed a third set of projection optics (set-3), the target wave-front error of which was less than 1nm rms. In set-3, we also attempted to reduce flare. We completed the mirror polishing, coating, and mirror adjustment of set-3. By using a recently developed polishing method, we reduced low-spatial-frequency roughness (LSFR), mid-spatial-frequency roughness (MSFR), and high-spatial-frequency roughness, simultaneously. The predicted wave-front error calculated from the LSFR number was 0.69nm rms. MSFR, which strongly affects the flare o...


Emerging Lithographic Technologies VII | 2003

Mo/Si multilayers deposited by low-pressure rotary magnet cathode sputtering for extreme-ultraviolet lithography

Masayuki Shiraishi; Noriaki Kandaka; Katsuhiko Murakami

We fabricated molybdenum (Mo)/silicon (Si) multilayers with a low-pressure rotary magnet cathode (RMC) sputtering system under several deposition conditions and investigated their properties. We obtained a high extreme UV (EUV) reflectivity of approximately 71% as measured in Mo/Si multilayers sputtered with low-pressure xenon (Xe) gas. We confirmed that the multilayers sputtered with Xe gas exhibited higher reflectivity than those sputtered with argon (Ar) gas, and that the multilayers sputtered at a lower pressure exhibited higher reflecitivty than those sputtered at a higher pressure. From trans mission electron microscope (TEM) cross-sectional images of the high-reflectivity Mo/Si multilayers, we observed thinner interdiffusion layers between the Mo and Si layers than those in Mo/Si multilayer where a 50-pair multilayer with a Γ ratio (the fractional thickness ratio of a Mo layer to the total thickness of a Mo layer and a Si layer) of 0.35 with compressive stress was stacked upon a 30-pair multilaeyr with a Γ ratio of 0.7 with tensile stress.


Emerging Lithographic Technologies VIII | 2004

Particle-cluster tin target for a high conversion efficiency LPP source for EUVL

Toshihisa Tomie; Tatsuya Aota; Jing Quan Lin; Yoshifumi Ueno; Hidehiko Yashiro; Noriaki Kandaka; Hiroki Moriwaki; Gohta Niimi; Isao Matsushima; Kentaro Nishigori

Particle-cluster tin target is presented as the solution of a 100W EUV source for EUVL. Theory for maximizing conversion efficiency of a laser-produced plasma is derived and the theory is experimentally confirmed by using a dispersed SnO2 particles. The EUV intensity 4 times higher than that from a plasma on a solid Sn plate target is observed at the optimized density. The achieved conversion efficiency for dispersed particles is estimated to be as high as 3%/(2π str 2%BW) or higher from the value for a Sn plate of 0.8% measured by using two multilayer mirrors and a calibrated photodiode. Theoretical consideration reveals that larger diameter plasma enables higher EUV power. The particle-cluster can be delivered at multi kHz rep-rate by using water droplet. Experimental confirmation of delivering particles by droplets is also reported.


Emerging Lithographic Technologies VII | 2003

Development of illumination optics and projection optics for high-NA EUV exposure tool (HiNA)

Tetsuya Oshino; Masayuki Shiraishi; Noriaki Kandaka; Katsumi Sugisaki; Hiroyuki Kondo; Kazuya Ota; Kiyoto Mashima; Katsuhiko Murakami; Hiroaki Oizumi; Iwao Nishiyama; Shinji Okazaki

We have developed a high numerical aperture (NA) small-field exposure system (HiNA) for EUV exposure process development. NA of projection optics of EUV exposure tools for 45-nm node lithography is expected to be around 0.25, which is higher than that previously expected (0.1). HiNA has compatible illumination system, which can be switched to partial coherent illumination and coherent illumination by changing some optical elements. Coherent illumination system was prepared for a high contrast imaging but the uniformity of intensity is less than that of partial coherent illumination. A reflected-type fly*fs-eye element was adopted for partial coherent illumination, which can provide uniformity of both coherency and intensity simultaneously. The coherency of the partial coherent illumination is 0.8. HiNA projection optics consists of two aspheric mirrors, with the NA and the imaging field of 0.3 and 0.3×0.5mm2, respectively. We fabricated two sets of projection-optics. Although the wavefront error of set-1 optics was 7nmRMS, that of set-2 optics was improved to 1.9nmRMS, which was measured with a point diffraction interferometer (PDI) using He-Ne laser. The wavefront error of the set-2 optics was improved by using a new mirror mount mechanism. The mount system consists of several board springs made of super invar in order to minimize the deformation of mirrors by mounting stress. The projection optics of the set-2 has a remote controlled mirror adjustment mechanism which has five degrees of freedom (X,Y,Z,X-Tilt and Y-Tilt). The position of the concave secondary mirror was adjusted precisely with measuring the wavefront error using PDI.


Japanese Journal of Applied Physics | 2002

Measurement of Resist Transmittance at Extreme Ultraviolet Wavelength Using the Extreme Ultraviolet Reflectometer

Shigeo Irie; Masayuki Endo; Masaru Sasago; Noriaki Kandaka; Hiroyuki Kondo; Katsuhiko Murakami

Since the same reduction exposure as that of conventional optical lithography technology is possible and the wavelength is as short as 13 nm, extreme ultraviolet (EUV) lithography is a promising method for the fabrication of semiconductors with feature sizes of 50 nm and below. In order to resolve a fine pattern in a single-layer resist process, a resist material with a small absorption coefficient needs to be developed. We have developed a method of measuring resist transmittance at the EUV wavelength using an EUV reflectometer. The EUV light used by the EUV reflectrometer was emitted from a CO2 plasma. The resist transmittance at the EUV wavelength could be calculated based on two parameters measured by the reflectrometer, namely, the reflectivity (Rtotal) of the resist on a multilayer mirror and the reflectivity (RML) of the Mo/Si multilayer mirror surface. The resist sample measured was polymethylmethacrylate (PMMA), a typical resist polymer. We found that the measured resist transmittance is in good agreement with the calculated resist transmittance and that method developed is very effective for measuring actual resist transmittance at the EUV wavelength.


Japanese Journal of Applied Physics | 2002

Study of Transmittance of Polymers and Influence of Photoacid Generator on Resist Transmittance at Extreme Ultraviolet Wavelength

Shigeo Irie; Masayuki Endo; Masaru Sasago; Noriaki Kandaka; Hiroyuki Kondo; Katsuhiko Murakami

Extreme ultraviolet (EUV) lithography is a promising candidate for the fabrication of semiconductors with feature sizes of 50 nm and below. In order to fabricate a fine pattern in a single-layer resist process, the development of resist materials with small absorption is required. To date, we have developed a method of measuring resist transmittance at an EUV wavelength using EUV reflectometer. We found that measured resist transmittance is in good agreement with calculated resist transmittance and that this method is very effective for measuring actual transmittance at EUV wavelength. In this paper, using the developed method of EUV transmittance, we report the study of transmittance of various kinds of polymers for resists and commercial resists and the influence of a photoacid generator (PAG) on resist transmittance. Our transmittance measurement by the EUV reflectometer is quantitatively useful for these studies. Polymers with carbon and silicon atoms show higher transmittance. On the other hand, polymers with fluorine atoms show lower transmittance. Photoacid generators have a negligible affect on transmittance.


Japanese Journal of Applied Physics | 1998

Data Processing in the Extraction of Properties from Force Curves for Mapping

Katsumi Sugisaki; Katsushi Nakano; Hiroyuki Sugimura; Noriaki Kandaka; Nobuyuki Nakagiri

Properties extracted from force curves obtained by atomic force microscopy (AFM) conducted at various locations on a sample can be mapped to determine their distribution over the sample surface, that is, force curve mapping. Since a huge amount of force curve data must be handled in such mapping, automatic force curve analysis is required. We have developed an algorithm which makes use of a differentiated force curve having peaks at the snap-in and snap-out points of the original force curve and negative values when the AFM tip is in contact with the sample surface. This algorithm was applied to various samples.


Japanese Journal of Applied Physics | 1998

Effective Reduction of Debris Emitted from a Laser-Produced Plasma

Noriaki Kandaka; Hiroyuki Kondo

In the practical application of laser-produced plasma as a soft X-ray source, the most serious problem is the emission of debris from the plasma. We have quantitatively evaluated the amount of debris, and have described its effective reduction by means of a buffer gas. With a tantalum target material, we substantially reduced the amount of debris by employing a buffer gas combined with protective covering.


Proceedings of SPIE | 2012

Development of EUV lithography tool technologies at Nikon

Katsuhiko Murakami; Tetsuya Oshino; Hiroyuki Kondo; Hiroshi Chiba; Yoshio Kawabe; Takuro Ono; Noriaki Kandaka; Atsushi Yamazaki; Takashi Yamaguchi; Ryo Shibata; Masayuki Shiraishi

6-mirror-system EUV projection optics design with NA of 0.4 plus was improved and the residual wavefront error was much reduced. Apodization is an issue for such high-NA EUV projection optics. Broad-band multilayer mirror can solve the problem. Broad-band multilayer mirrors were developed. Measured reflectivity performance of these multilayers was in good agreement with the designed performance. We have decided the measures to control contaminations of optics in HVM EUV exposure tools.


SPIE's 27th Annual International Symposium on Microlithography | 2002

Effect of argon and non-argon ion impingement on the stress reduction of multilayers for extreme-ultraviolet lithography

Masayuki Shiraishi; Wakana Ishiyama; Noriaki Kandaka; Tetsuya Oshino; Katsuhiko Murakami

We investigated the effects of oxygen and nitrogen atoms on stress reduction in low-stress multilayers developed by us. It was considered that the presence of non-argon atoms in molyndenum layers caused the stress change in the multilayers, because in the ion-beam polishing (IBP) of low-stress multilayers, we found that air was mixed with the argon gas for IBP with an assisting ion source. We analyzed the composition of the low-stress multilayer with oxygen- and nitrogen-mixed gas in the depth direction and detected oxygen and nitrogen atoms near the surface of the molybdenum layers. We also used an in situ stress monitoring system developed by us and investigated the contribution to stress reduction of each process with/without oxygen and nitrogen. We found that there were thresholds for both oxygen gas flow rate and thickness oxygen-doped molybdenum layers (i.e., molybdenum oxide layers) to suppress the compressive stress change in silicon layers. It was considered that the molybdenum oxide layers functioned as barrier layers to impede the formation of the interdiffusion layers causing strain, resulting in the suppression of the compressive stress change in subsequent silicon layers.

Researchain Logo
Decentralizing Knowledge