Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Masayuki Shiraishi is active.

Publication


Featured researches published by Masayuki Shiraishi.


Emerging Lithographic Technologies IX | 2005

Lithographic performance of high-numerical-aperture (NA=0.3) EUV small-field exposure tool (HINA)

H. Oizumi; Y. Tanaka; F. Kumasaka; I. Nishiyama; Hiroyuki Kondo; Masayuki Shiraishi; Tetsuya Oshino; Katsumi Sugisaki; Katsuhiko Murakami

Three sets of projection optics (Sets 1, 2, and 3) were fabricated to the mark of a wave front error (WFE) of less than 1 nm. The RMS WFE is 7.5 nm for Set 1, 1.9 nm for Set 2, and at most 0.9 nm for Set 3. In addition, the RMS mid-spatial frequency roughness (MSFR), which affects flare, is 0.34 nm for Set 2 and 0.17 nm for Set 3. This paper discusses the current lithographic performance of HINA, especially the evaluation of flare and the replication of fine-pitch patterns. Several EUV masks were fabricated to evaluate the effects of flare and to replicate fine-pitch patterns. In the case of Set 2 optics, 90 nm lines and spaces were barely delineated using a bright-field mask due to the RMS MSFR of 0.34 nm, and replication of 70 nm lines and spaces were achieved using a dark-field mask. Since the RMS WFE and the RMS MSFR for Set 3 optics are half as much as that for Set 2 optics, the lithographic performance of HINA is markedly improved. 50 nm lines and spaces of non-chemically-amplified resist were delineated with the illumination condition of a partial coherence, σ, of 0.8 and 45 nm lines and spaces were delineated with the annular illumination condition of outer σ of 0.8 and inner σ of 0.5. In addition ultimate resolution of 30 nm lines and spaces of chemically-amplified resist was performed under the coherent illumination condition of σ of 0.0.


Journal of Vacuum Science & Technology B | 2004

Development of projection optics set-3 for high-numerical-aperture extreme ultraviolet exposure tool (HiNA)

Tetsuya Oshino; Shin-ichi Takahashi; Takahiro Yamamoto; Tatsuya Miyoshi; Masayuki Shiraishi; Takaharu Komiya; Noriaki Kandaka; Hiroyuki Kondo; Kiyoto Mashima; Kazushi Nomura; Katsuhiko Murakami; Takeshi Okuyama; Hiroaki Oizumi; Iwao Nishiyama; Shinji Okazaki

We have developed a high-numerical-aperture extreme ultraviolet exposure tool (HiNA). HiNA is equipped with an illumination system, projection optics, a mask stage, and a wafer stage in the vacuum chamber. The projection optics consist of two aspherical mirrors (M1 and M2). The numerical aperture of the optics is 0.3. Thus far, we fabricated two sets of projection optics (set-1 and set-2). The wave-front errors of set-1 and set-2 were 7.5 and 1.9nm rms, respectively. We developed a third set of projection optics (set-3), the target wave-front error of which was less than 1nm rms. In set-3, we also attempted to reduce flare. We completed the mirror polishing, coating, and mirror adjustment of set-3. By using a recently developed polishing method, we reduced low-spatial-frequency roughness (LSFR), mid-spatial-frequency roughness (MSFR), and high-spatial-frequency roughness, simultaneously. The predicted wave-front error calculated from the LSFR number was 0.69nm rms. MSFR, which strongly affects the flare o...


Emerging Lithographic Technologies VII | 2003

Mo/Si multilayers deposited by low-pressure rotary magnet cathode sputtering for extreme-ultraviolet lithography

Masayuki Shiraishi; Noriaki Kandaka; Katsuhiko Murakami

We fabricated molybdenum (Mo)/silicon (Si) multilayers with a low-pressure rotary magnet cathode (RMC) sputtering system under several deposition conditions and investigated their properties. We obtained a high extreme UV (EUV) reflectivity of approximately 71% as measured in Mo/Si multilayers sputtered with low-pressure xenon (Xe) gas. We confirmed that the multilayers sputtered with Xe gas exhibited higher reflectivity than those sputtered with argon (Ar) gas, and that the multilayers sputtered at a lower pressure exhibited higher reflecitivty than those sputtered at a higher pressure. From trans mission electron microscope (TEM) cross-sectional images of the high-reflectivity Mo/Si multilayers, we observed thinner interdiffusion layers between the Mo and Si layers than those in Mo/Si multilayer where a 50-pair multilayer with a Γ ratio (the fractional thickness ratio of a Mo layer to the total thickness of a Mo layer and a Si layer) of 0.35 with compressive stress was stacked upon a 30-pair multilaeyr with a Γ ratio of 0.7 with tensile stress.


Proceedings of SPIE | 2010

Flare modeling and calculation on EUV optics

Masayuki Shiraishi; Tetsuya Oshino; Katsuhiko Murakami; Hiroshi Chiba

Flare is a critical impact on extreme ultraviolet (EUV) lithography. Flare can be calculated by integrating flare point spread function (PSF) within the bright field. Flare PSF is defined as (1-TIS)δ(r)+PSFSC(r); where TIS, total integrated scatter, is traditonally defined as integration of PSFSC to infinity, and r is distance on wafer. PSFSC is traditionally derived from power spectral density (PSD) of surface roughness of mirrors of optics. However, the amount of scatter light depends on mirror PSDs, while a portion of scatter light having a larger scatter angle cannot reach wafer; this means there is energy loss in optics. Hence TIS should be defined as total amount of as-scattered light, while PSFSC should be defined as amount of light reaching wafer for use to calculate image intensity. We then introduced two PSFs: PSFSC and PSFSC0. PSFSC0 is directly derived from mirror PSDs and used to calculate TIS. PSFSC is derived based on amount of light reaching wafer taking obscuration inside optics into account. We also applied other considerations: release of approximation in domain conversion from PSD to PSF, and scatter extinct effect by multilayer. Using these considerations we can calculate flare behaviors which agree well with experiments.


Proceedings of SPIE | 2015

Directed self-assembly lithography using coordinated line epitaxy (COOL) process

Yuriko Seino; Yusuke Kasahara; Hironobu Sato; Katsutoshi Kobayashi; Hitoshi Kubota; Shinya Minegishi; Ken Miyagi; Hideki Kanai; Katsuyoshi Kodera; Naoko Kihara; Yoshiaki Kawamonzen; Toshikatsu Tobana; Masayuki Shiraishi; Satoshi Nomura; Tsukasa Azuma

In this study, half-pitch (HP) 15 nm line-and-space (L/S) metal wires were successfully fabricated and fully integrated on a 300 mm wafer by applying directed self-assembly (DSA) lithography and pattern transfer for semiconductor device manufacturing. In order to evaluate process performances of DSA, we developed a simple sub-15 nm L/S patterning process using polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) lamellar block copolymer (BCP), which utilizes trimming resist and shallow etching spin-on-glass (SOG) as pinning guide[1]-[4]. From the results of defect inspection after SOG etch using Electron Beam (EB) inspection system, defects were classified as typical DSA defects or defects relating to DSA pattern transfer. From the evaluation of DSA L/S pattern Critical Dimension (CD), roughness and local placement error using CD-SEM, it is considered that isolated PS lines are placed at the centerline between guides and that placement of paired PS lines depends on the guide width. The control of the guide resist CD is the key to local placement error and the paired lines adjacent to the guide shifted toward the outside (0.5 nm) along the centerline of the isolated line after SOG etch. We demonstrated fabrication of HP 15 nm metal wires in trenches formed by the DSA process with reactive ion etching (RIE), followed by metal chemical vapor deposition (CVD) and chemical mechanical polishing (CMP). By SEM observation of alignment errors between the trenches and connect spaces, overlay shift patterns (-4 nm) in guide lithography mask were fabricated without intra-wafer alignment errors.


Journal of Micro-nanolithography Mems and Moems | 2009

Development progress of optics for extreme ultraviolet lithography at Nikon

Katsuhiko Murakami; Tetsuya Oshino; Hiroyuki Kondo; Masayuki Shiraishi; Hiroshi Chiba; Hideki Komatsuda; Kazushi Nomura; Jin Nishikawa

The full-field extreme ultraviolet (EUV) exposure tool named EUV1 is integrated and exposure experiments are started with a numerical aperture of the projection optics of 0.25, and conventional partial coherent illumination with a coherence factor of 0.8. 32-nm elbow patterns are resolved in a full arc field in static exposure. In a central area, 25-nm line-and-space patterns are resolved. In scanning exposure, 32-nm line-and-space patterns are successfully exposed on a full wafer. Wavefront error of the projection optics is improved to 0.4-nm rms. Flare impact on imaging is clarified, dependent on flare evaluation using the Kirk test. Resolution enhancement technology (RET) fly-eye mirrors and reflection-type spectral purity filters (SPFs) are investigated to increase throughput. High-NA projection optics design is also reviewed.


International Symposium on Optical Science and Technology | 2001

Stress control of Mo/Si-based multilayer coatings deposited by ion-beam sputtering

Katsuhiko Murakami; Masayuki Shiraishi

We have developed a new stress control technique in which modified molybdenum (Mo)/silicon (Si) multilayers are deposited by ion-beam sputtering, together with a method of sub-multilayering of each Mo layer into a trilayer of Mo/ruthenium (Ru)/Mo and a method of ion-beam polishing (IBP) after the deposition of each Mo layer. We fabricated conventional and stress-controlled Mo/Si multilayer coatings using an ion-beam sputtering system and measured the internal stress of these coatings. The conventional Mo/Si multilayers had a compressive stress of approximately - 450 MPa, while the stress-controlled multilayers had a tensile stress of +13 MPa. The modified Mo/Si multilayers had the same reflectivity as and a slightly larger bandwidth than the conventional ones. For the purpose of investigating the mechanism of stress control, we have developed an in situ stress monitoring system using an electrostatic capacitance. For single-layer Mo films, tensile stress was observed in the early stage of film growth. In the case of the conventional Mo/Si multilayers, partial stress was tensile during the deposition of Mo layers, but became compressive during the deposition of Si layers. In the case of the stress-controlled multilayers, it was observed that IBP of the surface of each Mo layer suppressed the generation of compressive stress in the ensuing Si layers.


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Stress reduction of molybdenum/silicon multilayers deposited by ion-beam sputtering

Masayuki Shiraishi; Wakana Ishiyama; Tetsuya Oshino; Katsuhiko Murakami

Modified molybdenum/silicon (Mo/Si) multilayers were deposited by ion beam sputtering (IBS). We obtained low-stress multilayers by sub-multilayering each Mo-layer into a trilayer of Mo/Ru (ruthenium)/Mo, and argon (Ar) ion beam polishing (IBP) after each Mo-layer deposition. Conventional Mo/Si multilayers have compressive stress of about -450 MPa, while the low-stress multilayers which we have developed have tensile stress of +14 MPa, on average. The method used is not a heating process such as annealing, thus it does not cause irreversible deformation of the precisely-figured mirror substrates of optics. It is expected that the application of low-stress multilayers to mirrors for reflection of light with a wavelength of 13 nm will make it possible to compose optics without worsening optical properties due to deformation of substrates by the stress of multilayer coatings.


Proceedings of SPIE | 2015

RIE challenges for sub-15 nm line-and-space patterning using directed self-assembly lithography with coordinated line epitaxy (COOL) process

Yusuke Kasahara; Yuriko Seino; Katsutoshi Kobayashi; Hideki Kanai; Hironobu Sato; Hitoshi Kubota; Toshikatsu Tobana; Shinya Minegishi; Ken Miyagi; Naoko Kihara; Katsuyoshi Kodera; Masayuki Shiraishi; Yoshiaki Kawamonzen; Satoshi Nomura; Tsukasa Azuma

Directed self-assembly (DSA) is one of the promising candidates for next-generation lithography. We developed a novel simple sub-15 nm line-and-space (L/S) patterning process, the “coordinated line epitaxy (COOL) process,” using grapho- and chemo-hybrid epitaxy. In this study we evaluate the DSA L/S pattern transfer margin. Since defect reduction is difficult in the case of the DSA pattern transfer process, there is a need to increase the pattern transfer margin. We also describe process integration for electrical yield verification.


Emerging Lithographic Technologies VII | 2003

Development of illumination optics and projection optics for high-NA EUV exposure tool (HiNA)

Tetsuya Oshino; Masayuki Shiraishi; Noriaki Kandaka; Katsumi Sugisaki; Hiroyuki Kondo; Kazuya Ota; Kiyoto Mashima; Katsuhiko Murakami; Hiroaki Oizumi; Iwao Nishiyama; Shinji Okazaki

We have developed a high numerical aperture (NA) small-field exposure system (HiNA) for EUV exposure process development. NA of projection optics of EUV exposure tools for 45-nm node lithography is expected to be around 0.25, which is higher than that previously expected (0.1). HiNA has compatible illumination system, which can be switched to partial coherent illumination and coherent illumination by changing some optical elements. Coherent illumination system was prepared for a high contrast imaging but the uniformity of intensity is less than that of partial coherent illumination. A reflected-type fly*fs-eye element was adopted for partial coherent illumination, which can provide uniformity of both coherency and intensity simultaneously. The coherency of the partial coherent illumination is 0.8. HiNA projection optics consists of two aspheric mirrors, with the NA and the imaging field of 0.3 and 0.3×0.5mm2, respectively. We fabricated two sets of projection-optics. Although the wavefront error of set-1 optics was 7nmRMS, that of set-2 optics was improved to 1.9nmRMS, which was measured with a point diffraction interferometer (PDI) using He-Ne laser. The wavefront error of the set-2 optics was improved by using a new mirror mount mechanism. The mount system consists of several board springs made of super invar in order to minimize the deformation of mirrors by mounting stress. The projection optics of the set-2 has a remote controlled mirror adjustment mechanism which has five degrees of freedom (X,Y,Z,X-Tilt and Y-Tilt). The position of the concave secondary mirror was adjusted precisely with measuring the wavefront error using PDI.

Collaboration


Dive into the Masayuki Shiraishi's collaboration.

Researchain Logo
Decentralizing Knowledge