Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Paul Yu is active.

Publication


Featured researches published by Paul Yu.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Field results from a new die-to-database reticle inspection platform

William H. Broadbent; Ichiro Yokoyama; Paul Yu; Kazunori Seki; Ryohei Nomura; Heiko Schmalfuss; Jan Heumann; Jean-Paul Sier

A new die-to-database high-resolution reticle defect inspection platform, TeraScanHR, has been developed for advanced production use with the 45nm logic node, and extendable for development use with the 32nm node (also the comparable memory nodes). These nodes will use predominantly ArF immersion lithography although EUV may also be used. According to recent surveys, the predominant reticle types for the 45nm node are 6% simple tri-tone and COG. Other advanced reticle types may also be used for these nodes including: dark field alternating, Mask Enhancer, complex tri-tone, high transmission, CPL, etc. Finally, aggressive model based OPC will typically be used which will include many small structures such as jogs, serifs, and SRAF (sub-resolution assist features) with accompanying very small gaps between adjacent structures. The current generation of inspection systems is inadequate to meet these requirements. The architecture and performance of the new TeraScanHR reticle inspection platform is described. This new platform is designed to inspect the aforementioned reticle types in die-to-database and die-to-die modes using both transmitted and reflected illumination. Recent results from field testing at two of the three beta sites are shown (Toppan Printing in Japan and the Advanced Mask Technology Center in Germany). The results include applicable programmed defect test reticles and advanced 45nm product reticles (also comparable memory reticles). The results show high sensitivity and low false detections being achieved. The platform can also be configured for the current 65nm, 90nm, and 130nm nodes.


Photomask and Next Generation Lithography Mask Technology XII | 2005

Implementation of an efficient defect classification methodology for advanced reticle inspection

Paul Yu; Vincent Hsu; Ellison Chen; Rick Lai; Kong Son; Weimin Ma; Peter Chang; Jackie Chen

As design rule continues to shrink towards ITRS roadmap requirements, reticle defect capture criteria are becoming ever more challenging. Pattern fidelity and reticle defects that were once perceived as insignificant or nuisance are now becoming a significant considerable yield impacting factor. More defects are also detectable and presented with increase in implementation of new generation reticle inspection systems. Therefore, how to review and characterize defects accurately and efficiently is becoming more significant. In particular, defect classification time often corresponds directly to the cost and the cycle time of mask manufacturing or new technology development. In this study we introduce a new mask defect review tool called ReviewSmart, which retrieves and processes defect images reported from KLA-Tencors high sensitivity TeraScan inspection tool. Compared to the traditional defect review method, ReviewSmart provides a much better method to manage defects efficiently by utilizing the concept of defect grouping disposition. Through the application and qualification results with respectable reticle production cases, the implementation of ReviewSmart has been proven to be effective for reducing defect classification loading and improving defect characterizing efficiency. Moreover, the new review tool is helpful to categorically identify tool or process variations thus allowing users to expedite the learning process for developing production worthy leading node processes.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

Inspection results for 32nm logic and sub-50nm half-pitch memory reticles using the TeraScanHR

Jean-Paul Sier; William H. Broadbent; Farzin Mirzaagha; Paul Yu

Results from the recently available TeraScanHR reticle inspection system were published in early 2007. These results showed excellent inspection capability for 45nm logic and 5xnm half-pitch memory advanced production reticles, thus meeting the industry need for the mid-2007 start of production. The system has been in production use since that time. In early 2007, some evidence was shown of capability to inspect reticles for the next nodes, 32nm logic and sub-50nm half-pitch memory, but the results were incomplete due to the limited availability of such reticles. However, more of these advanced reticles have become available since that time. Inspection results of these advanced reticles from various leading edge reticle manufacturers using the TeraScanHR are shown. These results indicate that the system has the capability to provide the needed inspection sensitivity for continued development work to support the industry roadmap.


Proceedings of SPIE, the International Society for Optical Engineering | 2005

Improvement in defect classification efficiency by grouping disposition for reticle inspection

Rick Lai; Luke T. H. Hsu; Peter Chang; C.H. Ho; Frankie Tsai; Garrett John Long; Paul Yu; John Miller; Vincent Hsu; Ellison Chen

As the lithography design rule of IC manufacturing continues to migrate toward more advanced technology nodes, the mask error enhancement factor (MEEF) increases and necessitates the use of aggressive OPC features. These aggressive OPC features pose challenges to reticle inspection due to high false detection, which is time-consuming for defect classification and impacts the throughput of mask manufacturing. Moreover, higher MEEF leads to stricter mask defect capture criteria so that new generation reticle inspection tool is equipped with better detection capability. Hence, mask process induced defects, which were once undetectable, are now detected and results in the increase of total defect count. Therefore, how to review and characterize reticle defects efficiently is becoming more significant. A new defect review system called ReviewSmart has been developed based on the concept of defect grouping disposition. The review system intelligently bins repeating or similar defects into defect groups and thus allows operators to review massive defects more efficiently. Compared to the conventional defect review method, ReviewSmart not only reduces defect classification time and human judgment error, but also eliminates desensitization that is formerly inevitable. In this study, we attempt to explore the most efficient use of ReviewSmart by evaluating various defect binning conditions. The optimal binning conditions are obtained and have been verified for fidelity qualification through inspection reports (IRs) of production masks. The experiment results help to achieve the best defect classification efficiency when using ReviewSmart in the mask manufacturing and development.


SPIE Photomask Technology | 2011

Efficiency and throughput improvement on defect disposition through automated defect classification

Lin He; Noel Corcoran; Danping Peng; Vikram Tolani; Hsien-Min Chang; Paul Yu; Kechang Wang; C. J. Chen; T. H. Yen; Rick Lai; Biow-Hiem Ong; Laurent C. Tuo

The routine use of aggressive OPC at advanced technology nodes, i.e., 40nm and beyond, has made photomask patterns quite complex. The high-resolution inspection of such masks often result in more false and nuisance defect detections than ever before. Traditionally, each defect is manually examined and classified by the inspection operator based on defined production criteria. The significant increase in total number of detected defects has made manual classification costly and non-manufacturable. Moreover, such manual classification is also susceptible to human judgment and hence error-prone. Luminescents Automated Defect Classification (ADC) offers a complete and systematic approach to defect disposition and classification. The ADC engine retrieves the high resolution inspection images and uses a decision-tree flow based on the same criteria human operators use to classify a given defect. Some identification mechanisms adopted by ADC to characterize defects include defect color in transmitted and reflected images, as well as background pattern criticality based on pattern topology. In addition, defect severity is computed quantitatively in terms of its size, impacted CD error, transmission error, defective residue, and contact flux error. The final classification uses a matrix decision approach to reach the final disposition. In high volume manufacturing mask production, matching rates of greater than 90% have been achieved when compared to operator defect classifications, together with run-rates of 250+ defects classified per minute. Such automated, consistent and accurate classification scheme not only allows for faster throughput in defect review operations but also enables the use of higher inspection sensitivity and success rate for advanced mask productions with aggressive OPC features.


SPIE Photomask Technology | 2011

Enabling virtual wafer CD (WCD) using inverse pattern rendering (IPR) of mask CD-SEM images

Thuc Dam; Dongxue Chen; Hsien-Min Chang; Noel Corcoran; Paul Yu; Linyong Pang; Chia-Wei Chang; Rick Lai; Peter Chang; Laurent C. Tuo

A wafers printed CD error can be impacted by unaccounted mask making process variation. Unaccounted mask CD and/or corner rounding alters the intended drawn mask pattern contributing to a wafers printed CD error. During OPC wafer calibration, average mask bias and corner rounding are accounted for in the OPC model, but random local mask making process variations or mask-to-mask variations can be difficult to account in such model calibration. Thus when a wafers CD has error, it can be difficult to determine if the general root cause was due to mask or wafer or both. An in-line monitoring application has been developed to extract accurate mask CD and rendered mask polygon from collected mask CD-SEM images. Technical information will be presented on the challenges of accurately extracting information from SEM images. In particular, discussions include SEM image calibration, contour extraction, inverse pattern rendering, and general image processing to account for mask SEM aberrations (translation, rotation, & dilation), tool-to-tool variation, vendor-to-vendor variation, run-to-run variation, and dark/bright field pattern-to-pattern variation. After accurate mask SEM contours are obtained, lithographic simulations are performed on extracted polygon contours to determine the impact of mask variation on wafer CD. This paper will present detail information about the Inverse Pattern Rendering (IPR) capabilities developed for a virtual Wafer CD (WCD) application and its results, which is proven to achieved 0.5 nm accuracy across multiple critical layers from 28 nm to 40 nm nodes on multiple CD-SEM tools over multiple mask shop locations.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Comparison of TeraStar SL1, TeraScanHR DDR, DDT and SL2 to identify an efficient mask re-qualification inspection mode for 7Xnm half pitch design node production reticles in advanced memory wafer fab

Koji Kaneko; Takanobu Kobayashi; Jinggang Zhu; Norihiko Takatsu; Paul Yu; Kosuke Ito; Toshiaki Kojima; Yoshinori Nagaoka

This paper discusses the most efficient mask re-qualification inspection mode for 7Xnm half pitch design node production memory reticles in advanced memory wafer fab. By comparing overall performance including inspectability, sensitivity, and throughput for 8 different inspection modes, P150 Pixel Die-to-Die Reflected Light (P150 DDR) was identified to be the most desirable inspection mode for the specific use case where only one inspection mode is available. The evaluation was executed on the most critical layers - active, gate and contact layer. P150 DDR demonstrates the capability of providing early warning for the crystal growth type defects on both quartz and MoSi surfaces. It also showed good sensitivity for capturing small contamination defects in the dense Line/Space or Contact/Hole pattern areas. With a fast inspection scan speed and easy to use set up, TeraScanHR P150 DDR offers the best cost of ownership among all inspection modes. To gain higher sensitivity for smaller design nodes, TeraScanHR P150 DDR can be easily extended to smaller inspection pixels with minimum impact on productivity.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Inspection results of advanced (sub-50nm design rule) reticles using the TeraScanHR

Jean-Paul Sier; William H. Broadbent; Paul Yu

Results from the recently available TeraScanHR reticle inspection system were published in early 2007. These results showed excellent inspection capability for 45nm logic and 5xnm half-pitch memory advanced production reticles, thus meeting the industry need for the mid-2007 start of production. The system has been in production use since that time. In early 2007, some evidence was shown of capability to inspect reticles for 32nm logic and sub-50nm half-pitch memory, but the results were incomplete due to the limited availability of such reticles. However, more of these advanced reticles have become available since that time. In this paper, inspection results of these advanced reticles from various leading-edge reticle manufacturers using the TeraScanHR are shown. These results indicate that the system has the capability to provide the needed inspection sensitivity for continued development work to support the industry roadmap.


Proceedings of SPIE, the International Society for Optical Engineering | 2008

Improving Cost of Ownership on KLA-Tencor Wafer Fab Reticle Inspections by Implementing Pixel Migration via New STARlight2+ Capability

Yung-Feng Cheng; Wei-Cyuan Lo; Ming-Jui Chen; Peter Huang; Chunlin Chen; Swapnajit Chakravarty; Paul Yu; Russell Dover

In the ever-changing semiconductor industry, wafer fabs and mask shops alike are adding low cost of ownership (CoO) to the list of requirements for inspections tools. KLA-Tencor has developed and introduced STARlight2+ (SL2+) to satisfy this need. This new software algorithm is available on all TeraScanHR and TeraFab models. KLA-Tencor has cooperated with United Microelectronics Corporation (UMC) to demonstrate and improve SL2+, including its ability to lower CoO, on 65nm and below photomasks. These improvements are built on the rich history of STARlight. Over the years, STARlight has become one of the industry standards for reticle inspection. Like its predecessors, SL2+ uses only transmitted and reflected light images from a reticle to identify defects on the reticle. These images along with plate-specific information are then processed by SL2+ to generate reference images of how the patterns on the reticle should appear. These reference images are then compared with the initial optical images to identify the defects. The new and improved SL2+ generates more accurate reference images. These images reduce background noise and increase the usable sensitivity. With the results from controlled engineering tests, a fab or mask shop can then decide to inspect reticles at a given technology node with a large pixel; this is sometimes referred to as pixel migration. The larger pixel with SL2+ can then perform the inspections at similar sensitivity settings and higher throughput, thus lowering CoO.


Proceedings of SPIE, the International Society for Optical Engineering | 2007

EUV mask process development using DUV inspection system

David Kim; Venu Vellanki; William Huang; Andrew Cao; Chunlin Chen; Aditya Dayal; Paul Yu; Ki Hun Park; Yumiko Maenaka; Kazuko Jochi; Gregg Inderhees

As the design rule continues to shrink towards 3x nm and below, lithographers are searching for new and advanced methods of mask lithography such as immersion, double patterning and extreme ultraviolet lithography (EUVL). EUV lithography is one of the leading candidates for the next generation lithography technologies after 193 nm immersion and many mask makers and equipment makers have focused on stabilizing the process. With EUV lithography just around the corner, it is crucial for advanced mask makers to develop and stabilize EUV mask processes. As a result, an inspection tool is required to monitor and provide quick feedback to each process step.

Collaboration


Dive into the Paul Yu's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge