Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Prasad Dasari is active.

Publication


Featured researches published by Prasad Dasari.


Proceedings of SPIE | 2008

Evaluating Diffraction Based Overlay Metrology for Double Patterning Technologies

Chandra Saru Saravanan; Yongdong Liu; Prasad Dasari; Oleg Kritsun; Catherine Volkman; Alden Acheta; Bruno La Fontaine

Demanding sub-45 nm node lithographic methodologies such as double patterning (DPT) pose significant challenges for overlay metrology. In this paper, we investigate scatterometry methods as an alternative approach to meet these stringent new metrology requirements. We used a spectroscopic diffraction-based overlay (DBO) measurement technique in which registration errors are extracted from specially designed diffraction targets for double patterning. The results of overlay measurements are compared to traditional bar-in-bar targets. A comparison between DBO measurements and CD-SEM measurements is done to show the correlation between the two approaches. We discuss the total measurement uncertainty (TMU) requirements for sub-45 nm nodes and compare TMU from the different overlay approaches.


Proceedings of SPIE | 2010

Advanced diffraction-based overlay for double patterning

Jie Li; Yongdong Liu; Prasad Dasari; Jiangtao Hu; Nigel Smith; Oleg Kritsun; Catherine Volkman

Diffraction based overlay (DBO) technologies have been developed to address the tighter overlay control challenges as the dimensions of integrated circuit continue to shrink. Several studies published recently have demonstrated that the performance of DBO technologies has the potential to meet the overlay metrology budget for 22nm technology node. However, several hurdles must be cleared before DBO can be used in production. One of the major hurdles is that most DBO technologies require specially designed targets that consist of multiple measurement pads, which consume too much space and increase measurement time. A more advanced spectroscopic ellipsometry (SE) technology-Mueller Matrix SE (MM-SE) is developed to address the challenge. We use a double patterning sample to demonstrate the potential of MM-SE as a DBO candidate. Sample matrix (the matrix that describes the effects of the sample on the incident optical beam) obtained from MM-SE contains up to 16 elements. We show that the Mueller elements from the off-diagonal 2x2 blocks respond to overlay linearly and are zero when overlay errors are absent. This superior property enables empirical DBO (eDBO) using two pads per direction. Furthermore, the rich information in Mueller matrix and its direct response to overlay make it feasible to extract overlay errors from only one pad per direction using modeling approach (mDBO). We here present the Mueller overlay results using both eDBO and mDBO and compare the results with image-based overlay (IBO) and CD-SEM results. We also report the tool induced shifts (TIS) and dynamic repeatability.


Proceedings of SPIE | 2010

A comparison of advanced overlay technologies

Prasad Dasari; Nigel Smith; Gary Goelzer; Zhuan Liu; Jie Li; Asher Tan; Chin Hwee Koh

The extension of optical lithography to 22nm and beyond by Double Patterning Technology is often challenged by CDU and overlay control. With reduced overlay measurement error budgets in the sub-nm range, relying on traditional Total Measurement Uncertainty (TMU) estimates alone is no longer sufficient. In this paper we will report scatterometry overlay measurements data from a set of twelve test wafers, using four different target designs. The TMU of these measurements is under 0.4nm, within the process control requirements for the 22nm node. Comparing the measurement differences between DBO targets (using empirical and model based analysis) and with image-based overlay data indicates the presence of systematic and random measurement errors that exceeds the TMU estimate.


Proceedings of SPIE | 2009

Diffraction Based Overlay Metrology for Double Patterning Technologies

Prasad Dasari; Rahul Korlahalli; Jie Li; Nigel Smith; Oleg Kritsun; Catherine Volkman

The extension of optical lithography to 32nm and beyond is made possible by Double Patterning Techniques (DPT) at critical levels of the process flow. The ease of DPT implementation is hindered by increased significance of critical dimension uniformity and overlay errors. Diffraction-based overlay (DBO) has shown to be an effective metrology solution for accurate determination of the overlay errors associated with double patterning [1, 2] processes. In this paper we will report its use in litho-freeze-litho-etch (LFLE) and spacer double patterning technology (SDPT), which are pitch splitting solutions that reduce the significance of overlay errors. Since the control of overlay between various mask/level combinations is critical for fabrication, precise and accurate assessment of errors by advanced metrology techniques such as spectroscopic diffraction based overlay (DBO) and traditional image-based overlay (IBO) using advanced target designs will be reported. A comparison between DBO, IBO and CD-SEM measurements will be reported. . A discussion of TMU requirements for 32nm technology and TMU performance data of LFLE and SDPT targets by different overlay approaches will be presented.


Journal of Micro-nanolithography Mems and Moems | 2016

Measuring self-aligned quadruple patterning pitch walking with scatterometry-based metrology utilizing virtual reference

Taher Kagalwala; Alok Vaid; Sridhar Mahendrakar; Michael Lenahan; Fang Fang; Paul Isbester; Michael Shifrin; Yoav Etzioni; Aron Cepler; Naren Yellai; Prasad Dasari; Cornel Bozdog

Abstract. Advanced technology nodes, 10 nm and beyond, employing multipatterning techniques for pitch reduction pose new process and metrology challenges in maintaining consistent positioning of structural features. A self-aligned quadruple patterning (SAQP) process is used to create the fins in FinFET devices with pitch values well below optical lithography limits. The SAQP process bears the compounding effects from successive reactive ion etch and spacer depositions. These processes induce a shift in the pitch value from one fin compared to another neighboring fin. This is known as pitch walking. Pitch walking affects device performance as well as later processes, which work on an assumption that there is consistent spacing between fins. In SAQP, there are three pitch walking parameters of interest, each linked to specific process steps in the flow. These pitch walking parameters are difficult to discriminate at a specific process step by singular evaluation technique or even with reference metrology, such as transmission electron microscopy. We will utilize a virtual reference to generate a scatterometry model to measure pitch walk for SAQP process flow.


Proceedings of SPIE | 2011

Metrology characterization of spacer double patterning by scatterometry

Prasad Dasari; Jie Li; Jiangtao Hu; Zhuan Liu; Oleg Kritsun; Catherine Volkman

Spacer defined double patterning processes consists of multiple deposition, post strips and etch steps and is inherently susceptible to the cumulative effects of defects from each process step leading to higher rate of defect detection. CD distortions and CD non-uniformity leads to DPT overlay errors. This demands improved critical dimension uniformity (CDU) and overlay control. Scatterometry technique enables the characterization and control the CD uniformity and provision to monitor stepper and scanner characteristics such as focus and dose control. While CDSEM is capable of characterizing CD and sidewall angle, is not adequate to resolve shape variations, such as footing and top rounding and spacers with leaning angles, during the intermediate process steps. We will characterize direct low temperature oxide deposition on resist spacer with fewer core films and reduced number of processing and metrology control steps. Metrology characterization of SADP and resist core transferred spacers at various process steps will be performed by scatterometry using spectroscopic ellipsometry and reflectometry. We will present CD distribution (CDU) and profile characterization for core formation, spacer deposition and etch by advanced optical scatterometry and also validate against CDSEM.


Proceedings of SPIE | 2012

Scatterometry metrology challenges of EUV

Prasad Dasari; Jie Li; Jiangtao Hu; Zhuan Liu; Oleg Kritsun; Catherine Volkman

Resolution enhancement techniques such as double patterning (DP) processes are implemented to achieve lower critical dimension (CD) control tolerances. However the design complications, overlay resulting from multiple exposures, and production cost limit the DP usage. EUVL offers the most promising patterning technology to be adopted for 14nm and beyond due to simplicity and cost advantage estimates. However, EUVL is also prone to number of patterning challenges that are unique to EUV, such as orientation dependent pattern placement errors resulting from mask shadowing effect, flare(leads to CD non-uniformity) and non-flatness (leads to overlay errors). Even though the shadowing effects can be corrected by means of OPC and mask stack design, there is a need to monitor the systemic errors due to HV bias in order to control the lithographic process. In this paper, we will report the measurement sensitivity of EUVL targets (CD, height and sidewall angle), systemic CD errors (H-V bias) and feedback for OPC correction by scatterometry. We will also report the measurement precision, accuracy and matching for EUV structures.


Journal of Micro-nanolithography Mems and Moems | 2010

Scatterometry characterization of spacer double patterning structures

Prasad Dasari; Jiangtao Hu; Zhuan Liu; Asher Tan; Oleg Kritsun; Catherine Volkman; Christopher Dennis Bencher

Double patterning technology overlay errors result in critical dimension (CD) distortions, and CD nonuniformity leads to overlay errors, demanding increased critical dimension uniformity (CDU) and improved overlay control. Scatterometry techniques are used to characterize the CD uniformity, focus, and dose control. We present CDU and profile characterization for spacer double patterning structures by advanced scatterometry methods. Our results include normal incidence spectroscopic reflectometry (NISR) and spectroscopic ellipsometry (SE) characterization of CDU sensitivity in spacer double patterning stacks. We further show the results of spacer DP structures by NISR and SE measurements. Metrology comparisons at various process steps including litho, etch, and spacer, and validation of CDU and profile, are all benchmarked against traditional critical dimension scanning electron microscope measurements.


Proceedings of SPIE | 2009

Simultaneous overlay and CD measurement for double patterning: scatterometry and RCWA approach

Jie Li; Zhuan Liu; Silvio J. Rabello; Prasad Dasari; Oleg Kritsun; Catherine Volkman; Jungchul Park; Lovejeet Singh

As optical lithography advances to 32 nm technology node and beyond, double patterning technology (DPT) has emerged as an attractive solution to circumvent the fundamental optical limitations. DPT poses unique demands on critical dimension (CD) uniformity and overlay control, making the tolerance decrease much faster than the rate at which critical dimension shrinks. This, in turn, makes metrology even more challenging. In the past, multi-pad diffractionbased overlay (DBO) using empirical approach has been shown to be an effective approach to measure overlay error associated with double patterning [1]. In this method, registration errors for double patterning were extracted from specially designed diffraction targets (three or four pads for each direction); CD variation is assumed negligible within each group of adjacent pads and not addressed in the measurement. In another paper, encouraging results were reported with a first attempt at simultaneously extracting overlay and CD parameters using scatterometry [2]. In this work, we apply scatterometry with a rigorous coupled wave analysis (RCWA) approach to characterize two double-patterning processes: litho-etch-litho-etch (LELE) and litho-freeze-litho-etch (LFLE). The advantage of performing rigorous modeling is to reduce the number of pads within each measurement target, thus reducing space requirement and improving throughput, and simultaneously extract CD and overlay information. This method measures overlay errors and CDs by fitting the optical signals with spectra calculated from a model of the targets. Good correlation is obtained between the results from this method and that of several reference techniques, including empirical multi-pad DBO, CD-SEM, and IBO. We also perform total measurement uncertainty (TMU) analysis to evaluate the overall performance. We demonstrate that scatterometry provides a promising solution to meet the challenging overlay metrology requirement in DPT.


Proceedings of SPIE | 2016

Hybrid enabled thin film metrology using XPS and optical

Alok Vaid; Givantha Iddawela; Sridhar Mahendrakar; Michael Lenahan; Mainul Hossain; Padraig Timoney; Abner Bello; Cornel Bozdog; Heath Pois; Wei Ti Lee; Mark Klare; Michael Kwan; Byung Cheol (Charles) Kang; Paul Isbester; Matthew Sendelbach; Naren Yellai; Prasad Dasari; Tom Larson

Complexity of process steps integration and material systems for next-generation technology nodes is reaching unprecedented levels, the appetite for higher sampling rates is on the rise, while the process window continues to shrink. Current thickness metrology specifications reach as low as 0.1A for total error budget – breathing new life into an old paradigm with lower visibility for past few metrology nodes: accuracy. Furthermore, for advance nodes there is growing demand to measure film thickness and composition on devices/product instead of surrogate planar simpler pads. Here we extend our earlier work in Hybrid Metrology to the combination of X-Ray based reference technologies (high performance) with optical high volume manufacturing (HVM) workhorse metrology (high throughput). Our stated goal is: put more “eyes” on the wafer (higher sampling) and enable move to films on pattern structure (control what matters). Examples of 1X front-end applications are used to setup and validate the benefits.

Collaboration


Dive into the Prasad Dasari's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Nigel Smith

Industrial Technology Research Institute

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Paul Isbester

Weizmann Institute of Science

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Cornel Bozdog

Weizmann Institute of Science

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge