Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Oleg Kritsun is active.

Publication


Featured researches published by Oleg Kritsun.


Proceedings of SPIE | 2010

Advanced diffraction-based overlay for double patterning

Jie Li; Yongdong Liu; Prasad Dasari; Jiangtao Hu; Nigel Smith; Oleg Kritsun; Catherine Volkman

Diffraction based overlay (DBO) technologies have been developed to address the tighter overlay control challenges as the dimensions of integrated circuit continue to shrink. Several studies published recently have demonstrated that the performance of DBO technologies has the potential to meet the overlay metrology budget for 22nm technology node. However, several hurdles must be cleared before DBO can be used in production. One of the major hurdles is that most DBO technologies require specially designed targets that consist of multiple measurement pads, which consume too much space and increase measurement time. A more advanced spectroscopic ellipsometry (SE) technology-Mueller Matrix SE (MM-SE) is developed to address the challenge. We use a double patterning sample to demonstrate the potential of MM-SE as a DBO candidate. Sample matrix (the matrix that describes the effects of the sample on the incident optical beam) obtained from MM-SE contains up to 16 elements. We show that the Mueller elements from the off-diagonal 2x2 blocks respond to overlay linearly and are zero when overlay errors are absent. This superior property enables empirical DBO (eDBO) using two pads per direction. Furthermore, the rich information in Mueller matrix and its direct response to overlay make it feasible to extract overlay errors from only one pad per direction using modeling approach (mDBO). We here present the Mueller overlay results using both eDBO and mDBO and compare the results with image-based overlay (IBO) and CD-SEM results. We also report the tool induced shifts (TIS) and dynamic repeatability.


Proceedings of SPIE | 2012

EUV OPC for the 20-nm node and beyond

Chris Clifford; Yi Zou; Azat Latypov; Oleg Kritsun; Thomas Wallow; Harry J. Levinson; Fan Jiang; Deniz E. Civay; Keith Standiford; Ralph Schlief; Lei Sun; Obert Wood; Sudhar Raghunathan; Pawitter Mangat; Hui Peng Koh; Craig Higgins; Jeffrey Schefske; Mandeep Singh

Although the k1 factor is large for extreme ultraviolet (EUV) lithography compared to deep ultraviolet (DUV) lithography, OPC is still needed to print the intended patterns on the wafer. This is primarily because of new non-idealities, related to the inability of materials to absorb, reflect, or refract light well at 13.5nm, which must be corrected by OPC. So, for EUV, OPC is much more than conventional optical proximity correction. This work will focus on EUV OPC error sources in the context of an EUV OPC specific error budget for future technology nodes. The three error sources considered in this paper are flare, horizontal and vertical print differences, and mask writing errors. The OPC flow and computation requirements of EUV OPC are analyzed as well and compared to DUV. Conventional optical proximity correction is simpler and faster for EUV compared to DUV because of the larger k1 factor. But, flare and H-V biasing make exploitation of design hierarchy more difficult.


Proceedings of SPIE | 2009

Diffraction Based Overlay Metrology for Double Patterning Technologies

Prasad Dasari; Rahul Korlahalli; Jie Li; Nigel Smith; Oleg Kritsun; Catherine Volkman

The extension of optical lithography to 32nm and beyond is made possible by Double Patterning Techniques (DPT) at critical levels of the process flow. The ease of DPT implementation is hindered by increased significance of critical dimension uniformity and overlay errors. Diffraction-based overlay (DBO) has shown to be an effective metrology solution for accurate determination of the overlay errors associated with double patterning [1, 2] processes. In this paper we will report its use in litho-freeze-litho-etch (LFLE) and spacer double patterning technology (SDPT), which are pitch splitting solutions that reduce the significance of overlay errors. Since the control of overlay between various mask/level combinations is critical for fabrication, precise and accurate assessment of errors by advanced metrology techniques such as spectroscopic diffraction based overlay (DBO) and traditional image-based overlay (IBO) using advanced target designs will be reported. A comparison between DBO, IBO and CD-SEM measurements will be reported. . A discussion of TMU requirements for 32nm technology and TMU performance data of LFLE and SDPT targets by different overlay approaches will be presented.


Proceedings of SPIE | 2011

Metrology characterization of spacer double patterning by scatterometry

Prasad Dasari; Jie Li; Jiangtao Hu; Zhuan Liu; Oleg Kritsun; Catherine Volkman

Spacer defined double patterning processes consists of multiple deposition, post strips and etch steps and is inherently susceptible to the cumulative effects of defects from each process step leading to higher rate of defect detection. CD distortions and CD non-uniformity leads to DPT overlay errors. This demands improved critical dimension uniformity (CDU) and overlay control. Scatterometry technique enables the characterization and control the CD uniformity and provision to monitor stepper and scanner characteristics such as focus and dose control. While CDSEM is capable of characterizing CD and sidewall angle, is not adequate to resolve shape variations, such as footing and top rounding and spacers with leaning angles, during the intermediate process steps. We will characterize direct low temperature oxide deposition on resist spacer with fewer core films and reduced number of processing and metrology control steps. Metrology characterization of SADP and resist core transferred spacers at various process steps will be performed by scatterometry using spectroscopic ellipsometry and reflectometry. We will present CD distribution (CDU) and profile characterization for core formation, spacer deposition and etch by advanced optical scatterometry and also validate against CDSEM.


Proceedings of SPIE | 2012

Scatterometry metrology challenges of EUV

Prasad Dasari; Jie Li; Jiangtao Hu; Zhuan Liu; Oleg Kritsun; Catherine Volkman

Resolution enhancement techniques such as double patterning (DP) processes are implemented to achieve lower critical dimension (CD) control tolerances. However the design complications, overlay resulting from multiple exposures, and production cost limit the DP usage. EUVL offers the most promising patterning technology to be adopted for 14nm and beyond due to simplicity and cost advantage estimates. However, EUVL is also prone to number of patterning challenges that are unique to EUV, such as orientation dependent pattern placement errors resulting from mask shadowing effect, flare(leads to CD non-uniformity) and non-flatness (leads to overlay errors). Even though the shadowing effects can be corrected by means of OPC and mask stack design, there is a need to monitor the systemic errors due to HV bias in order to control the lithographic process. In this paper, we will report the measurement sensitivity of EUVL targets (CD, height and sidewall angle), systemic CD errors (H-V bias) and feedback for OPC correction by scatterometry. We will also report the measurement precision, accuracy and matching for EUV structures.


Journal of Micro-nanolithography Mems and Moems | 2010

Scatterometry characterization of spacer double patterning structures

Prasad Dasari; Jiangtao Hu; Zhuan Liu; Asher Tan; Oleg Kritsun; Catherine Volkman; Christopher Dennis Bencher

Double patterning technology overlay errors result in critical dimension (CD) distortions, and CD nonuniformity leads to overlay errors, demanding increased critical dimension uniformity (CDU) and improved overlay control. Scatterometry techniques are used to characterize the CD uniformity, focus, and dose control. We present CDU and profile characterization for spacer double patterning structures by advanced scatterometry methods. Our results include normal incidence spectroscopic reflectometry (NISR) and spectroscopic ellipsometry (SE) characterization of CDU sensitivity in spacer double patterning stacks. We further show the results of spacer DP structures by NISR and SE measurements. Metrology comparisons at various process steps including litho, etch, and spacer, and validation of CDU and profile, are all benchmarked against traditional critical dimension scanning electron microscope measurements.


Proceedings of SPIE | 2009

Calibrating OPC model with full CD profile data for 2D and 3D patterns using scatterometry

Aasutosh Dave; Oleg Kritsun; Yunfei Deng; Kenji Yoshimoto; Jie Li; Jiangtao Hu

The ability to manage critical dimensions (CDs) of structures on IC devices is vital to improving product yield and performance. It is challenging to achieve accurate metrology data as the geometries shrink beyond 40 nm features. At this technology node CDSEM noise and resist LER are of significant concerns1. This paper examines the extendibility of scatterometry techniques to characterize structures that are close to limits of lithographic printing and to extract full profile information for 2D and 3D features for OPC model calibration2. The resist LER concerns are diminished because of the automatic averaging that scatterometry provides over the measurement pad; this represents a significant added value for proper OPC model calibration and verification. This work develops a comparison matrix to determine the impact of scatterometry data on OPC model calibration with conventional CDSEM measurements. The paper will report test results for the OPC model through process data for accuracy and predictability.


Proceedings of SPIE | 2009

Simultaneous overlay and CD measurement for double patterning: scatterometry and RCWA approach

Jie Li; Zhuan Liu; Silvio J. Rabello; Prasad Dasari; Oleg Kritsun; Catherine Volkman; Jungchul Park; Lovejeet Singh

As optical lithography advances to 32 nm technology node and beyond, double patterning technology (DPT) has emerged as an attractive solution to circumvent the fundamental optical limitations. DPT poses unique demands on critical dimension (CD) uniformity and overlay control, making the tolerance decrease much faster than the rate at which critical dimension shrinks. This, in turn, makes metrology even more challenging. In the past, multi-pad diffractionbased overlay (DBO) using empirical approach has been shown to be an effective approach to measure overlay error associated with double patterning [1]. In this method, registration errors for double patterning were extracted from specially designed diffraction targets (three or four pads for each direction); CD variation is assumed negligible within each group of adjacent pads and not addressed in the measurement. In another paper, encouraging results were reported with a first attempt at simultaneously extracting overlay and CD parameters using scatterometry [2]. In this work, we apply scatterometry with a rigorous coupled wave analysis (RCWA) approach to characterize two double-patterning processes: litho-etch-litho-etch (LELE) and litho-freeze-litho-etch (LFLE). The advantage of performing rigorous modeling is to reduce the number of pads within each measurement target, thus reducing space requirement and improving throughput, and simultaneously extract CD and overlay information. This method measures overlay errors and CDs by fitting the optical signals with spectra calculated from a model of the targets. Good correlation is obtained between the results from this method and that of several reference techniques, including empirical multi-pad DBO, CD-SEM, and IBO. We also perform total measurement uncertainty (TMU) analysis to evaluate the overall performance. We demonstrate that scatterometry provides a promising solution to meet the challenging overlay metrology requirement in DPT.


Optics Letters | 2014

Fourier spectrum method to determine dose-to-clear in a photoresist.

Lei Sun; Obert Wood; Chris Clifford; Sudhar Raghunathan; Oleg Kritsun; Myungjun Lee; Ryoung-Han Kim; Pawitter Mangat; Hui Peng Koh; Harry J. Levinson

A Fourier spectrum method to determine the dose-to-clear in a photoresist is proposed. The frequency content of scanning electron microscope resist images is used to determine whether the resist has been dissolved. Using this method, the dose to clear the resist is calculated automatically instead of via visual inspection, a method in which operator influence can affect the result.


Proceedings of SPIE | 2013

Evaluating scatterometry 3D capabilities for EUV

Jie Li; Oleg Kritsun; Prasad Dasari; Catherine Volkman; Tom Wallow; Jiangtao Hu

Optical critical dimension (OCD) metrology using scatterometry has been demonstrated to be a viable solution for fast and non-destructive in-line process control and monitoring. As extreme ultraviolet lithography (EUVL) is more widely adopted to fabricate smaller and smaller patterns for electronic devices, scatterometry faces new challenges due to several reasons. For 14nm node and beyond, the feature size is nearly an order of magnitude smaller than the shortest wavelength used in scatterometry. In addition, thinner resist layer is used in EUVL compared with conventional lithography, which leads to reduced measurement sensitivity. Despite these difficulties, tolerance has reduced for smaller feature size. In this work we evaluate 3D capability of scatterometry for EUV process using spectroscopic ellipsometry (SE). Three types of structures, contact holes, tip-to-tip, and tip-to-edge, are studied to test CD and end-gap metrology capabilities. The wafer is processed with focus and exposure matrix. Good correlations to CD-SEM results are achieved and good dynamic precision is obtained for all the key parameters. In addition, the fit to process provides an independent method to evaluate data quality from different metrology tools such as OCD and CDSEM. We demonstrate 3D capabilities of scatterometry OCD metrology for EUVL using spectroscopic ellipsometry, which provides valuable in-line metrology for CD and end-gap control in electronic circuit fabrications.

Collaboration


Dive into the Oleg Kritsun's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge