Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Russell M. Hudyma is active.

Publication


Featured researches published by Russell M. Hudyma.


23. SPIE annual international symposium on microlithography conference, Santa Clara, CA (United States), 22-27 Feb 1998 | 1998

EUV optical design for a 100-nm CD imaging system

Donald W. Sweeney; Russell M. Hudyma; Henry N. Chapman; David R. Shafer

The imaging specifications for extreme ultraviolet lithography (EUVL) projection optics parallel those of other optical lithographies. Specifications are scaled to reflect the 100 nm critical dimension for the first generation EUVL systems. The design being fabricated for the Engineering Test Stand, an EUVL alpha tool, consists of a condenser with six channels to provide an effective partial coherence factor of 0.7. The camera contains four mirrors; three of the mirrors are aspheres and the fourth is spherical. The design of the optical package has been constrained so that the angles of incidence and the variations in the angle of incidence of all rays allow for uniform multilayer coatings. The multilayers introduce a slight shift in image position and magnification. We have shown that a system aligned with visible light is also aligned at 13.4 nm. Each mirror must be fabricated with an RMS figure error of less than 0.25 nm and better than 0.2 nm RMS roughness. Optical surfaces that exceed each of these specifications individually have been fabricated. The success of EUVL requires that these specifications be met simultaneously.


22nd Annual BACUS Symposium on Photomask Technology | 2002

Aerial Image Microscope for the inspection of defects in EUV masks

Anton Barty; John S. Taylor; Russell M. Hudyma; Eberhard Spiller; Donald W. Sweeney; Gilbert V. Shelden; Jan-Peter Urbach

The high volume inspection equipment currently available to support development of EUV blanks is non-actinic. The same is anticipated for patterned EUV mask inspection. Once potential defects are identified and located by such non-actinic inspection techniques, it is essential to have instrumentation to perform detailed characterization, and if repairs are performed, re-evaluation. The ultimate metric for the acceptance or rejection of a mask due to a defect, is the wafer level impact. Thus, measuring the aerial image for the site under question is required. An EUV Aerial Image Microscope (“AIM”) similar to the current AIM tools for 248nm and 193nm exposure wavelength is the natural solution for this task. Due to the complicated manufacturing process of EUV blanks, AIM measurements might also be beneficial to accurately assessing the severity of a blank defect. This is an additional application for an EUV AIM as compared to today’s use. In recognition of the critical role of an EUV AIM for the successful implementation of EUV blank and mask supply, International SEMATECH initiated this design study with the purpose to define the technical requirements for accurately simulating EUV scanner performance, demonstrating the feasibility to meet these requirements and to explore various technical approaches to building an EUV AIM tool.


SPIE 25th International Symposium on Microlithography, Santa Clara, CA (US), 02/27/2000--03/03/2000 | 2000

EUV Engineering Test Stand

Daniel A. Tichenor; Glenn D. Kubiak; William C. Replogle; Leonard E. Klebanoff; John B. Wronosky; Layton C. Hale; Henry N. Chapman; John S. Taylor; James A. Folta; Claude Montcalm; Russell M. Hudyma; Kenneth A. Goldberg; Patrick P. Naulleau

The Engineering Test Stand (ETS) is an EUV laboratory lithography tool. The purpose of the ETS is to demonstrate EUV full-field imaging and provide data required to support production-tool development. The ETS is configured to separate the imaging system and stages from the illumination system. Environmental conditions can be controlled independently in the two modules to maximize EUV throughput and environmental control. A source of 13.4 nm radiation is provided by a laser plasma source in which a YAG laser beam is focused onto a xenon-cluster target. A condenser system, comprised of multilayer-coated mirrors and grazing-incidence mirrors, collects the EUV radiation and directs it onto a reflecting reticle. A four-mirror, ring-field optical system, having a numerical aperture of 0.1, projects a 4x-reduction image onto the wafer plane. This design corresponds to a resolution of 70 nm at a k1 of 0.52. The ETS is designed to produce full- field images in step-and-scan mode using vacuum-compatible, one-dimension-long-travel magnetically levitated stages for both reticle and wafer. Reticle protection is incorporated into the ETS design. This paper provides a system overview of the ETS design and specifications.


23. SPIE annual international symposium on microlithography conference, Santa Clara, CA (United States), 22-27 Feb 1998 | 1998

Fabrication and testing of optics for EUV projection lithography

John S. Taylor; Gary E. Sommargren; Donald W. Sweeney; Russell M. Hudyma

EUV lithography (EUVL) is a leading candidate as a stepper technology for fabricating the 0.1 micrometers generation of microelectronic circuits. EUVL is an optical printing technique qualitatively similar to DUV lithography (DUVL), except that 11-13 nm wavelength light is used instead of 193-248nm. The feasibility of creating 0.1 micrometers features has been well-established using small-field EUVL printing tools, and development efforts are currently underway to demonstrate that cost-effective production equipment can be engineered to perform full-width ring-field imaging consistent with high wafer throughput rates. Ensuring that an industrial supplier base will be available for key components and subsystems is crucial to the success of EUVL. In particular, the projection optics are the heart of the EUVL imaging system, yet they have figure and finish specifications that are beyond the state-of-the-art in optics manufacturing. Thus it is important to demonstrate that industry will be able to fabricate and certify these optics commensurate with EUVL requirements. Indeed, the goal of this paper is to demonstrate that procuring EUVL projection optical substrates is feasible. This conclusion is based on measurements of both commercially-available and developmental substrates. The paper discusses EUVL figure and finish specifications, followed by examples of ultrasmooth and accurate surfaces, and concludes with a discussion of how substrates are measured and evaluated.


Applied Optics | 2007

Sub-diffraction-limited multilayer coatings for the 0.3 numerical aperture micro-exposure tool for extreme ultraviolet lithography

Regina Soufli; Russell M. Hudyma; Eric M. Gullikson; Mark A. Schmidt; Jeff C. Robinson; Sherry L. Baker; Christopher C. Walton; John S. Taylor

Multilayer coating results are discussed for the primary and secondary mirrors of the micro-exposure tool (MET): a 0.30 NA lithographic imaging system with a 200 microm x 600 microm field of view at the wafer plane, operating in the extreme ultraviolet (EUV) region at an illumination wavelength around 13.4 nm. Mo/Si multilayers were deposited by DC-magnetron sputtering on large-area, curved MET camera substrates. A velocity modulation technique was implemented to consistently achieve multilayer thickness profiles with added figure errors below 0.1 nm rms demonstrating sub-diffraction-limited performance, as defined by the classical diffraction limit of Rayleigh (0.25 waves peak to valley) or Marechal (0.07 waves rms). This work is an experimental demonstration of sub-diffraction- limited multilayer coatings for high-NA EUV imaging systems, which resulted in the highest resolution microfield EUV images to date.


26th Annual International Symposium on Microlithography | 2001

Multilayer optics for an extreme-ultraviolet lithography tool with 70-nm resolution

Regina Soufli; Mark A. Schmidt; Courtney Davidson; R. Fred Grabner; Eric M. Gullikson; Benjamin B Kaufmann; Stanley Mrowka; Sherry L. Baker; Henry N. Chapman; Russell M. Hudyma; John S. Taylor; Christopher C. Walton; Claude Montcalm; James A. Folta

One of the most critical tasks in the development of extreme ultraviolet lithography (EUVL) is the accurate deposition of reflective multilayer coatings for the mirrors comprising the EUVL tool. The second set (Set 2) of four imaging optics for an alpha-class EUVL system has been coated successfully. All four mirrors (M1, M2, M3, M4) were Mo/Si- coated during a single-deposition run with a production- scale DC-magnetron sputtering system. Ideally, the multilayer coatings should not degrade the residual wavefront error of the imaging system design. For the present EUVL camera, this requirement is equivalent to depositing multilayer coatings that would add a figure error of less than 0.11 nm rms. In addition, all mirrors should be matched in centroid wavelength, in order to insure maximum throughput of the EUVL tool. In order to meet these constraints, the multilayer deposition process needs to be controlled to atomic precision. EUV measurements of the coated mirrors determined that the added figure errors due to the multilayer coatings are 0.032 nm rms (M1), 0.037 nm rms (M2), 0.040 nm rms (M3) and 0.015 nm rms (M4), well within the aforementioned requirement of 0.11 nm rms. The average wavelength among the four projection mirrors is 13.352 nm, with an optic-to-optic matching of 1(sigma) =0.010 nm. This outstanding level of wavelength matching produces 99.3% of the throughput of an ideally matched four-mirror system. Peak reflectances are 63.8% (M1), 65.2% (M2), 63.8% (M3) and 66.7% (M4). The variation in reflectance values between the four optics is consistent with their high frequency substrate roughness. It is predicted that the multilayer coatings will not introduce any aberrations in the lithographic system performance, for both static and scanned images of 70 nm - dense features.


Emerging lithographic technologies. Conference | 1999

Sub-100-nm lithographic imaging with an EUV 10x microstepper

John E. M. Goldsmith; Kurt W. Berger; Dan R. Bozman; Gregory Frank Cardinale; Daniel R. Folk; Craig C. Henderson; Donna J. O'Connell; Avijit K. Ray-Chaudhuri; Kenneth D. Stewart; Daniel A. Tichenor; Henry N. Chapman; Richard J. Gaughan; Russell M. Hudyma; Claude Montcalm; John S. Taylor; Jeffrey D. Williams; Kenneth A. Goldberg; Eric M. Gullikson; Patrick P. Naulleau; Jonathan L. Cobb

The capabilities of the EUV 10x microstepper have been substantially improved over the past year. The key enhancement was the development of a new projection optics system with reduced wavefront error, reduced flare, and increased numerical aperture. These optics and concomitant developments in EUV reticles and photoresists have enabled dramatic improvements in EUV imaging, illustrated by resolution of 70 nm dense lines and spaces (L/S). CD linearity has been demonstrated for dense L/S over the range 100 nm to 80 nm, both for the imaging layer and for subsequent pattern transfer. For a +/- 10 percent CD specification, we have demonstrated a process latitude of +/- micrometers depth of focus and 10 percent dose range for dense 100 nm L/S.


Applied Optics | 2002

Atomic-precision multilayer coating of the first set of optics for an extreme-ultraviolet lithography prototype system

Claude Montcalm; R. Frederick Grabner; Russell M. Hudyma; Mark A. Schmidt; Christopher C. Walton; Marco Wedowski; James A. Folta

We present our results of coating a first set of optical elements for an extreme-ultraviolet (EUV) lithography system. The optics were coated with Mo-Si multilayer mirrors by dc magnetron sputtering and characterized by synchrotron radiation. Near-normal incidence reflectances above 65% were achieved at 13.35 nm. The run-to-run reproducibility of the reflectance peak wavelength was maintained to within 0.4%, and the thickness uniformity (or gradient) was controlled to within +/-0.05% peak to valley, exceeding the prescribed specification. The deposition technique used for this study is an enabling technology for EUV lithography, making it possible to fabricate multilayer-coated optics to accuracies commensurate with atomic dimensions.


SPIE's International Symposium on Optical Science, Engineering, and Instrumentation | 1999

High-power source and illumination system for extreme ultraviolet lithography

Glenn D. Kubiak; Luis J. Bernardez; Kevin D. Krenz; William C. Replogle; William C. Sweatt; Donald W. Sweeney; Russell M. Hudyma; Harry Shields

A clean, high-power Extreme Ultraviolet (EUV) light source is being developed for Extreme Ultraviolet Lithography (EUVL). The source is based on a continuous jet of condensable gas irradiated with a diode-pumped solid state laser producing a time-averaged output power of 1700 W at 5000 - 6000 Hz. An illumination system is being assembled to collect and deliver the EUV output from the source and deliver it to a reticle and projection optics box to achieve an EUV exposure rate equivalent to ten 300-mm wafers per hour.


44th Annual Meeting of the International Symposium on Optical Science Engineering and Instrumentation, Denver, CO (US), 07/18/1999--07/23/1999 | 1999

Multilayer coated optics for an alpha-class extreme ultraviolet lithography system

James A. Folta; R F Grabner; Russell M. Hudyma; Claude Montcalm; Mark A. Schmidt; Christopher C. Walton; Marco Wedowski

We present the results of coating the first set of optical elements for an alpha-class extreme-ultraviolet (EUV) lithography system, the Engineering Test Stand (ETS). The optics were coated with Mo/Si multilayer mirrors using an upgraded DC-magnetron sputtering system. Characterization of the near-normal incidence EUV reflectance was performed using synchrotron radiation from the Advanced Light Source at the Lawrence Berkeley National Laboratory. Stringent requirements were met for these multilayer coatings in terms of reflectance, wavelength matching among the different optics, and thickness control across the diameter of each individual optic. Reflectances above 65% were achieved at 13.35 nm at near-normal angles of incidence. The run-to-run reproducibility of the reflectance peak wavelength was maintained to within 0.4%, providing the required wavelength matching among the seven multilayer-coated optics. The thickness uniformity (or gradient) was controlled to within plus or minus 0.25% peak-to-valley (P-V) for the condenser optics and plus or minus 0.1% P-V for the four projection optics, exceeding the prescribed specification for the optics of the ETS.

Collaboration


Dive into the Russell M. Hudyma's collaboration.

Top Co-Authors

Avatar

John S. Taylor

Lawrence Livermore National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Christopher C. Walton

Lawrence Livermore National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Claude Montcalm

Lawrence Livermore National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Mark A. Schmidt

Lawrence Livermore National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Donald W. Sweeney

Lawrence Livermore National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Eric M. Gullikson

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

James A. Folta

Lawrence Livermore National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Regina Soufli

Lawrence Livermore National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Daniel A. Tichenor

Sandia National Laboratories

View shared research outputs
Researchain Logo
Decentralizing Knowledge