Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Eric M. Gullikson is active.

Publication


Featured researches published by Eric M. Gullikson.


Journal of Vacuum Science & Technology B | 2002

Practical approach for modeling extreme ultraviolet lithography mask defects

Eric M. Gullikson; C. Cerjan; Daniel G. Stearns; Paul B. Mirkarimi; Donald W. Sweeney

An approximate method is proposed to calculate the extreme ultraviolet (EUV) scattering from a defect within a multilayer coating. In this single surface approximation (SSA) the defective multilayer structure is replaced by a single reflecting surface with the shape of the top surface of the multilayer. The range of validity of this approximation has been investigated for Gaussian line defects using two-dimensional finite-difference-time-domain simulations. The SSA is found to be valid for sufficiently low aspect ratio defects such as those expected for the critical defects nucleated by particles on the mask substrate. The critical EUVL defect size is calculated by combining the SSA with a multilayer growth model and aerial image simulations. Another approximate method for calculating the aerial image of an unresolved defect is also discussed. Although the critical substrate defects may be larger than the resolution of higher numerical aperture cameras, the point defect approximation provides a useful fra...


Applied Optics | 2003

Design and performance of capping layers for extreme-ultraviolet multilayer mirrors

Sasa Bajt; Henry N. Chapman; Nhan Nguyen; Jennifer B. Alameda; Jeffrey C. Robinson; Michael Malinowski; Eric M. Gullikson; Andrew Aquila; Charles S. Tarrio; Steven E. Grantham

Multilayer lifetime has emerged as one of the major issues for the commercialization of extreme-ultraviolet lithography (EUVL). We describe the performance of an oxidation-resistant capping layer of Ru atop multilayers that results in a reflectivity above 69% at 13.2 nm, which is suitable for EUVL projection optics and has been tested with accelerated electron-beam and extreme-ultraviolet (EUV) light in a water-vapor environment. Based on accelerated exposure results, we calculated multilayer lifetimes for all reflective mirrors in a typical commercial EUVL tool and concluded that Ru-capped multilayers have approximately 40x longer lifetimes than Si-capped multilayers, which translates to 3 months to many years, depending on the mirror dose.


Journal of Vacuum Science & Technology B | 2001

First lithographic results from the extreme ultraviolet Engineering Test Stand

Henry N. Chapman; Avijit K. Ray-Chaudhuri; Daniel A. Tichenor; William C. Replogle; Richard H. Stulen; Glenn D. Kubiak; P. D. Rockett; Leonard E. Klebanoff; Donna O’Connell; Alvin H. Leung; Karen L. Jefferson; John B. Wronosky; John S. Taylor; Layton C. Hale; Kenneth L. Blaedel; Eberhard Spiller; Gary E. Sommargren; James A. Folta; Donald W. Sweeney; Eric M. Gullikson; Patrick P. Naulleau; Kenneth A. Goldberg; Jeffrey Bokor; David T. Attwood; U. Mickan; R. Hanzen; E. Panning; Pei-Yang Yan; Charles W. Gwyn; Sunggi Lee

The extreme ultraviolet (EUV) Engineering Test Stand (ETS) is a step-and-scan lithography tool that operates at a wavelength of 13.4 nm. It has been developed to demonstrate full-field EUV imaging and acquire system learning for equipment manufacturers to develop commercial tools. The initial integration of the tool is being carried out using a developmental set of projection optics, while a second, higher-quality, projection optics is being assembled and characterized in a parallel effort. We present here the first lithographic results from the ETS, which include both static and scanned resist images of 100 nm dense and isolated features throughout the ring field of the projection optics. Accurate lithographic models have been developed and compared with the experimental results.


Journal of Vacuum Science & Technology B | 2000

Effects of smoothing on defect printability at extreme ultraviolet wavelengths

Gregory Frank Cardinale; Avijit K. Ray-Chaudhuri; Aaron Fisher; P. S. J. Mangat; J. Wasson; P. B. Mirkarimi; Eric M. Gullikson

Improvements in mask blank yield by the reduction in defects dramatically reduces the reticle cost of any lithography. Extreme ultraviolet lithography (EUVL) masks typically consist of a substrate coated with reflective multilayer (ML) materials (e.g., Mo and Si), followed by a sacrificial or “repair” layer (e.g., SiO2) and top absorber layer material (e.g., Cr or TaSiNx). Defects of the following two types exist: substrate and absorber defects. Substrate defects are generally below the absorber and buffer layers, i.e., at the substrate–ML interface or within the ML stack, whereas the absorber defects are located at the absorber layer. In addition, the printability of substrate-type defects may be reduced by coating the defects with a planarizing or smoothing layer. In this work, we discuss simulation and experimental results that compare the printability of programmed defect reticles with and without smoothing layers covering the programmed defect. We propose several criteria for smoothing layer specific...


International Conference on Extreme Ultraviolet Lithography | 2017

Fabrication and performance of transmission engineered molybdenum-rich phase structures in the EUV regime (Conference Presentation)

Farhad Salmassi; Weilun Chao; Eric M. Gullikson; Julia Meyer-Ilse; Patrick Naulleau; Paolo A. Gargini; Kurt G. Ronse; Toshiro Itani

For applications in the Extreme Ultraviolet (EUV) region, phase-shift structures play an important role in pushing the throughput and performance of optical systems. While EUV optical elements are typically designed and fabricated for use in reflection, there are important applications in transmission as well where phase shift structures can provide substantial throughput gains. Examples are EUV microscopy and interferometry using gratings or zone plates. In the EUV regime, few materials offer a better combination of phase shift and absorption properties than molybdenum (Mo), however, drawbacks for Mo include crystalline growth complicating the etch process, and ease of oxidation which leads to diminished performance with time. nHere we develop a fabrication process for transmission optical elements made of an engineered molybdenum-rich film on free-standing silicon membranes and show the performance of these phase structures in the EUV regime. We chose the fabrication of simple binary gratings of 72nm half pitch (Fig. 1) in order to establish a baseline for performance. We further addressed the oxidation concerns for Mo by developing a process to passivate the surface using atomic layer deposition (ALD) to coat a thin and conformal layer of silicon nitride while incurring minimum throughput loss. The gratings were measured for efficiency in three stages of fabrication at Lawrence Berkeley Laboratory’s Advance Light Source (Beamline 6.3.2) in Berkeley California (Fig. 2). The first measurement was prior to ALD passivation, the second measurement was immediately after passivation, and the third measurement was performed after exposure of the gratings to UV ozone used as an accelerated oxidation test. The conformal coating of silicon nitride was effective in passivating the surface of Mo features. The measurement results show that we were able to achieve a grating efficiency of approximately 18% in the 1st and -1st orders (compared to 8% possible with a conventional absorber grating on Si membrane). The results also demonstrate the effectiveness of the ALD passivation process in mitigating oxidation effects with minimal effect on performance.


Proc. SPIE | 2013

The SEMATECH high-NA actinic reticle review project (SHARP) EUV mask-imaging microscope

Kenneth A. Goldberg; Iacopo Mochi; Markus P. Benk; Chihcheng Lin; Arnaud P. Allezy; Michael R. Dickinson; Carl W. Cork; James Macdougall; Erik H. Anderson; Weilun Chao; Farhad Salmassi; Eric M. Gullikson; Daniel Zehm; Vamsi Vytla; William Cork; Jason DePonte; Gino Picchi; Ahmet Pekedis; Takeshi Katayanagi; Michael G. Jones; Elizabeth Martin; Patrick Naulleau; Senajith Rekawa

The SEMATECH High Numerical Aperture Actinic Reticle Review Project (SHARP) is a newly commissioned, synchrotron-based extreme ultraviolet (EUV) microscope dedicated to photomask research. SHARP offers several major advances including objective lenses with 4xNA values from 0.25 to 0.625, flexible, lossless coherence control through a Fourier-synthesis illuminator, a rotating azimuthal plane of incidence up to ±25°, illumination central ray angles from 6 to 10°, and a continuously tunable, EUV illumination wavelength. SHARP is now being used to study programmed and native mask defects, defect repairs, mask architecture, optical proximity correction, and the influence of mask substrate roughness on imaging. SHARP has the ability to emulate a variety of current and future lithography tool numerical apertures, and illumination properties. Here, we present various performance studies and examples where SHARP’s unique capabilities are used in EUV mask research.


Proc. SPIE | 2013

Experimental verification of EUV mask limitations at high-numerical apertures

Rikon Chao; Paul Gräupner; Eric M. Gullikson; Seong-Sue Kim; Jens-Timo Neumann; Ryan Miyakawa; Hwan-Seok Seo; Andrew Neureuther; Patrick Naulleau

In this work, we use a high accuracy synchrotron-based reflectometer to experimentally determine the effects of angular bandwidth limitations on high NA EUV performance. We characterized mask blank and mask pattern diffraction performance as a function of illumination angle, scatter angle, and wavelength. A variety of pattern feature sizes ranging down to coded sizes of 11 nm (44 nm on the mask) are considered. A Rigorous Coupled-Wave Analysis (RCWA) model is calibrated against the experimental data to enable future model-based performance predictions. The model is optimized against the clearfield data and verified by predicting the mask pattern diffraction data. We thus have confirmed the degradation and asymmetry of diffraction orders at high AOI.


Proc. SPIE | 2013

Application of phase shift focus monitor in EUVL process control

Lei Sun; Sudhar Raghunathan; Vibhu Jindal; Eric M. Gullikson; Pawitter Mangat; Iacopo Mochi; Kenneth A. Goldberg; Markus P. Benk; Oleg Kritsun; Tom Wallow; Deniz E. Civay; Obert Wood

Both 90.9° and 180° phase shifts have been achieved using a new Phase Shift Mask (PSM) structure. This PSM is intended for use as a focus monitor. Both the EUV images of the focus monitor patterns on the new EUV PSM test mask, obtained from the SEMATECH/Berkeley Actinic Inspection Microscope (AIT), and the SEMATECH EUV Micro Exposure Tool (MET), shows that an alternating PSM EUV mask can be effectively used for EUVL focus monitoring.


Proc. SPIE | 2013

EUV scatterometry-based measurement method for the determination of phase roughness

Rikon Chao; Eric M. Gullikson; Michael Goldstein; Frank Goodwin; Ranganath Teki; Andrew Neureuther; Patrick Naulleau

AFM-based roughness measurement reveals the topography of EUV masks, but is only sensitive to the top surface [1]. Scatterometry provides a more accurate approach to characterize the effective phase roughness of the multilayer, and it becomes important to determine the valid metrology for roughness characterization. In this work, the power spectral density calculated from scatterometry is compared to that from AFM for measurements before and after coating of substrates with a range of roughness levels. Results show noticeable discrepancies between AFM- and scatterometrymeasured roughness, and indicates that when the physical surface roughness increases with deposition the EUV penetration into the multilayer tends to mitigate this effect. In this paper, we describe an EUV scatterometry-based measurement method for the determination of phase roughness with the goal of minimizing the amount of physical scattering data to be collected and rendering the method compatible with potential future standalone EUV reflectometer tools.


SYNCHROTRON RADIATION INSTRUMENTATION: SRI99: Eleventh US National Conference | 2001

Characterization of multilayer reflective coatings for extreme ultraviolet lithography

Marco Wedowski; Eric M. Gullikson; James H. Underwood; Eberhard Spiller; Claude Montcalm; Patrick A. Kearney; Sasa Bajt; Mark A. Schmidt; James A. Folta

The synchrotron-based reflectometer at beamline 6.3.2 of the Advanced Light Source (ALS) in Berkeley is an important metrology tool within the current Extreme Ultraviolet Lithography (EUVL) program. This program is a joint activity of three National Laboratories and a consortium of leading semiconductor manufacturers. Its goal is the development of a technology for routine production of sub-100 nm feature sizes for microelectronic circuits. Multilayer-coated normal-incidence optical surfaces reflecting in the Extreme Ultraviolet (EUV) spectral range near 13 nm are the basis for this emerging technology. All optical components of EUV lithographic steppers need to be characterized at-wavelength during their development and manufacturing process. Multilayer coating uniformity and gradient, accurate wavelength matching and high peak reflectances are the main parameters to be optimized. The mechanical and optical properties of the reflectometer at ALS beamline 6.3.2 proved to be well suited for the needs of the current EUVL program. In particular the facility is highly precise in its wavelength calibration and the determination of absolute EUV reflectance. The reproducibility of results of measurements at ALS beamline 6.3.2 is 0.2 % for reflectivity and 0.002 nm for wavelength.

Collaboration


Dive into the Eric M. Gullikson's collaboration.

Top Co-Authors

Avatar

Farhad Salmassi

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Erik H. Anderson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Eberhard Spiller

Technical University of Berlin

View shared research outputs
Top Co-Authors

Avatar

Kenneth A. Goldberg

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Regina Soufli

Lawrence Livermore National Laboratory

View shared research outputs
Top Co-Authors

Avatar

Sasa Bajt

University of California

View shared research outputs
Top Co-Authors

Avatar

John S. Taylor

University of California

View shared research outputs
Top Co-Authors

Avatar

Charles S. Tarrio

National Institute of Standards and Technology

View shared research outputs
Top Co-Authors

Avatar

Daniel A. Tichenor

Sandia National Laboratories

View shared research outputs
Top Co-Authors

Avatar

Howard A. Padmore

Lawrence Berkeley National Laboratory

View shared research outputs
Researchain Logo
Decentralizing Knowledge