Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Scott Falk is active.

Publication


Featured researches published by Scott Falk.


MRS Proceedings | 2000

The Effect of Impurities on Diffusion and Activation of ion Implanted Boron in Silicon

Lance S. Robertson; R. Brindos; K. S. Jones; Mark E. Law; Daniel F. Downey; Scott Falk; Jinning Liu

The interaction between boron and silicon interstitials caused by ion implant damage is a physical process which hinders the formation of ultra-shallow, low resistivity junctions. The possibility of mitigating the effective interstitial point defect population via introduction of nonmetallic impurities in ion implanted silicon has been investigated. Amorphization of a n-type Czochralski wafer was achieved using a series of Si+ implants of 40 keV and 150 keV, each at a dose of 1×10 15 /cm 2 . The Si + implants produced a 2800A deep amorphous layer, which was then implanted with 8 keV 1×10 14 /cm 2 B + . The samples were then implanted with high doses of either carbon, oxygen, sulfur, chlorine, selenium, or bromine. The implant energies of the impurities were chosen such that the damage and ion profiles of the impurity were contained within the amorphous layer. This allowed for the chemical species effect to be studied independent of the implant damage caused by the impurity implant. Post-implantation anneals were performed in a tube furnace at 750° C. Secondary ion mass spectrometry was used to monitor the dopant diffusion after annealing. Hall effect measurements were used to study the dopant activation. Transmission electron microscopy (TEM) was used to study the end-of-range defect evolution. The addition of carbon and chlorine appear to reduce the boron diffusion enhancement compared to the boron control. Carbon and chlorine also appear to prevent boron out-diffusion during annealing compared to the control, which exhibited 20% dose loss following annealing.


Thin Solid Films | 1997

Dose-rate effects on the formation of ultra-shallow junctions with low-energy B+ and BF2+ ion implants

Daniel F. Downey; James J Cummings; Sonu L. Daryanani; Scott Falk

Abstract 11B+ and 49BF2+ implants on a Varian VIISion-80 PLUS Ion Implanter from 2.0 to 8.9 keV at a dose of 1E15/cm2, and at various controlled and measured (in situ) peak beam-current densities, ranging from 3 to 600 μA/cm2, were investigated to study the effects of dose rate on the formation of ultra-shallow junctions. The implants and annealing conditions were chosen to produce junction depths, as measured by secondary ion mass spectrometry (SIMS), of 40 to 150 nm. In addition, a comprehensive study of B vs. BF2 at a boron effective energy of 2.0 keV (i.e. B at 2.0 keV and BF2 at 8.9 keV) was undertaken. The results show that for the implant conditions investigated the dose rate does not have a significant effect (if any) on the junction depth and that there is a distinct advantage to BF2 implants in forming shallower junctions. This advantage is not dose-rate related, but is related to the presence of fluorine. This paper also addresses the effects of pre-amorphization with Ge on dopant activation and on transient enhanced diffusion, and annealing techniques to optimize sheet resistance while minimizing junction depths. The background concentration of O2 during anneal was found to have a dramatic impact on the annealed junction (from oxidation-enhanced diffusion). Reducing the O2 concentration to trace amounts, produced the shallowest junctions observed. By combining those techniques which reduce boron diffusion, junctions that were only 39 nm deep, having a sheet resistance of 361 Ω/sq., were fabricated with 5 keV BF2.


ION IMPLANTATION TECHNOLOGY: 16th International Conference on Ion Implantation Technology - IIT 2006 | 2006

Using Multiple Implant Regions To Reduce Development Wafer Usage

Steven R. Walther; Scott Falk; Sandeep Mehta; Yuri Erokhin; Peter Nunan

The cost of new process development has risen significantly with larger wafer sizes and the increased number of fabrication steps needed to create advanced devices. The high value of each 300 mm development wafer has spurred efforts to find a way to explore more than a single process setting with each wafer. Traditional methods of defining multiple spatially distinct implant regions on a single wafer achieve poor utilization of device die. The need for efficient utilization of the die and wide process latitude for defining multiple implant regions per wafer has led to the development of an implant proximity mask (vMask™), which permits sharply defined borders between implant regions that may have different species, energy, angle, or dose. The capability of this system to achieve multiple spatially resolved implant conditions per wafer with high die utilization and using the same process parameters as production implants will be described. Specifically, results for measurement of the uniform process area, ...


ION IMPLANTATION TECHNOLOGY 2101: 18th International Conference on Ion Implantation Technology IIT 2010 | 2011

Achieving Uniform Device Performance by Using Advanced Process Control and SuperScan

Christian Krueger; Thomas Feudel; Vivek Rao; Andrew M. Waite; Zhiyong Zhao; Scott Falk; Youn‐ki Kim

As CMOS technologies advance beyond the 45 nm feature size, device architectures and semiconductor manufacturing processes are becoming more complex. Process variations from multiple process modules lead to disparities in device performance. Several key processes such as gate patterning are typically under advanced process control (APC). However, a critical challenge for APC is the embedded SiGe (eSiGe) selective epitaxial process (used for PFET stress‐engineering), which may have across‐wafer radial variation that significantly impact PFET overlap capacitance (Cov) and threshold voltage (Vtsat). As a counter‐measure to address this issue in a 45 nm SOI CMOS platform for advanced logic products, the SuperScan™ option was employed for the PFET extension implant using a Varian VIISta™ high‐current single‐wafer implanter. The SuperScan technology implants wafers with tailored implant dose maps, to correct for non‐implant‐related across‐wafer process non‐uniformities. The SuperScan technique resulted in signi...


MRS Proceedings | 2000

Defect Reduction in Laser Thermal Processing

Heather Banisaukas; K. S. Jones; Somit Talwar; Scott Falk; Dan Downey

Laser thermal processing (LTP) of Si involves laser melting a preamorphized layer in order to activate dopants and create a low resistivity contact. Defects are often observed to form during the recrystallization of the molten layer. This work focuses on varying the implant conditions and the pre-LTP annealing conditions in an effort to reduce these defect concentrations. The effect of very low temperature anneals (VLTA) and varying dose rates on the amorphous/crystalline interface roughness prior to LTP and the defect density after LTP have been investigated. The amorphous layer was created by a 10 keV 1×10 15 /cm 2 Si + implant. VLTA were conducted in a nitrogen gas furnace at temperatures between 400°C and 450°C for times between 5 minutes and 60 minutes. These anneals were chosen to minimize recrystallization of the amorphous layer by solid phase epitaxial regrowth. Variation in the dose rate from 0.06 mA/cm 2 to 0.48 mA/cm 2 was achieved by changing the beam current in the ion implanter. High-resolution crosssectional transmission electron microscopy (HR-XTEM) was used to analyze the effect of the VLTA or dose rate on the amorphous/crystalline interface. Results show that the 400°C 60 minute VLTA or the 0.48 mA/cm 2 dose rate reduced the roughness of the amorphous/crystalline interface from over 45A to around 15A. This reduction in amorphous/crystalline interface roughness prior to laser thermal processing results in a reduction in LTP recrystallization defects by as much as an order of magnitude.


The fifteenth international conference on the application of accelerators in research and industry | 2008

Process advances to achieve ultra-shallow junctions for 0.10 μm technology requirements

Adam F. Bertuch; Zhiyong Zhao; Daniel F. Downey; Scott Falk

Ion implants of 11B+, 49BF2+, 31P+ and 75As+ at energies as low as 0.25 keV at various doses were implanted and rapid thermal annealed (RTA) with controlled O2 levels in N2 ambient to investigate the effects of oxygen during anneal. For each of these implant species, an optimal RTA ambient of O2 in N2 is established which maximizes retained dose and uniformity while minimizing oxidation enhanced diffusion (OED) and other oxygen related diffusion effects. TEM analysis was performed for all species and energy thresholds identified (at 1e15/cm2), below which no extended-defects or loops were observed to form and hence a regime where transient enhanced diffusion (TED) should not affect dopant diffusion. In this energy regime with anneals in a low ppm O2 in N2 ambient, the contributions from TED, OED, BED (boridation enhanced diffusion or other dopant enhanced diffusion effects) are minimized/eliminated. With these enhanced diffusion mechanisms under control, the effects of minimizing the thermal diffusion by ...


ION IMPLANTATION TECHNOLOGY: 16th International Conference on Ion Implantation Technology - IIT 2006 | 2006

Efficient High Current Process Transfer and Device Matching Strategies for sub‐90nm Manufacturing

Dennis Lee; NyenSiong Loh; Miow Chin Tan; Kyu-Ha Shim; Scott Falk; Baonian Guo; Scott Jillson; Bryan Wong; Kherchong Loh; Sandeep Mehta; Yuri Erokhin

As devices scale down to 90nm and beyond, process transfer between different high current (HC) implanter platforms requires precise matching of multiple characteristics of implant process environment. Matching traditional recipe parameters such as dose, energy and tilt/twist no longer secures achieving target device characteristics with tolerances needed for high volume manufacturing (Δ<1% for Idsat, Vt). Thus, beam characteristics, previously considered to be second order, such as beam angular properties (divergence and steering), beam current density, and energy contamination (EC) levels must be taken into account to achieve accurate device matching between different high current implanter platforms. While device sensitivity to beam angular properties increases as devices shrink, at reduced ion energies traditional implanter matching procedures relying on SIMS and Rs measurements on bare wafers lose sensitivity to variations of beam angular characteristics. Thus, to achieve efficient qualification of ne...


Journal of Electronic Materials | 1999

Effects of “fast” rapid thermal anneals on sub-keV boron and BF 2 ion implants

Daniel F. Downey; Scott Falk; Adam F. Bertuch; Steven D. Marcus


MRS Proceedings | 2000

Junction Depth Reduction of ion Implanted Boron in Silicon Through Fluorine ion Implantation

Lance S. Robertson; P.N. Warnes; K. S. Jones; Susan Earles; Mark E. Law; Daniel F. Downey; Scott Falk; Jinning Liu


Nuclear Instruments & Methods in Physics Research Section B-beam Interactions With Materials and Atoms | 2007

Characterization and simulation studies on high tilt ion implantation for precision halo implant applications

Baonian Guo; Z.Y. Zhao; Scott Falk; Jinning Liu; Kyu-Ha Shim; Ukyo Jeong; Sandeep Mehta

Collaboration


Dive into the Scott Falk's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge