Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Se-Jin Yook is active.

Publication


Featured researches published by Se-Jin Yook.


IEEE Transactions on Semiconductor Manufacturing | 2007

Experimental Investigations on Particle Contamination of Masks Without Protective Pellicles During Vibration or Shipping of Mask Carriers

Se-Jin Yook; H. Fissan; Christof Asbach; Jung Hyeun Kim; Dabrina D. Dutcher; Pei Yang Yan; David Y.H. Pui

Extreme ultraviolet lithography (EUVL) is considered the next generation lithography to produce 32-nm feature-size or smaller. The challenge is that conventional pellicles are unavailable for protecting the EUVL masks against contaminant particles, because the EUV beam is easily absorbed by most solid materials. The masks are usually transported or stored in mask carriers. Without the protective pellicles, particles generated inside the mask carrier may deposit on the critical surface of the mask. It is therefore important to identify where the particles are generated inside the mask carrier during shipping. In this paper, two shipping carrier models of different mask holder designs were used. The mask carriers with quartz mask blanks inside were shaken manually, vibrated with a computer-controlled vibration table, or shipped via air freight. In order to simulate the EUVL mask shipping, no pellicles were used. Several online and offline particle detection techniques were employed to investigate particle generation inside the mask carrier during vibration or shipping. It was shown that particles were mostly generated at the contact points between the mask surface and the carrier element. The design of the mask-holding element in the mask carrier played an important role in reducing particle generation.


Applied Physics Letters | 2009

Gaussian diffusion sphere model to predict mass transfer due to diffusional particle deposition on a flat surface in laminar flow regime

Se-Jin Yook; Kang-Ho Ahn

Gaussian diffusion sphere model (GDSM) was developed to predict mass transfer in a flow parallel to a flat surface in laminar flow regime. The GDSM is based on the prediction of deposition probability of particles by calculating the volume fraction of a sphere overlapping with the surface, where the distance a particle diffuses for a certain time within the sphere is statistically weighted by a Gaussian distribution with the standard deviation equal to the root-mean-square net displacement by Brownian motion. Mean mass transfer coefficient predicted by the GDSM agreed very well with that calculated by the laminar boundary layer theory.


IEEE Transactions on Semiconductor Manufacturing | 2007

Experimental Investigations of Protection Schemes for Extreme Ultraviolet Lithography Masks in Carrier Systems Against Horizontal Aerosol Flow

Se-Jin Yook; H. Fissan; Christof Asbach; Jung Hyeun Kim; T. van der Zwaag; Thomas Engelke; Pei-Yang Yan; David Y.H. Pui

In extreme ultraviolet lithography (EUVL), conventional pellicles are unavailable for protecting the EUVL masks, since they highly absorb the EUV radiation. One of the serious challenges is therefore to prevent particulate contamination of the EUVL masks. In this paper, EUVL mask protection schemes proposed by Asbach were experimentally challenged against horizontal aerosol flow simulating particle transport from the side during mask handling, shipping, and storage at atmospheric pressure. The protection schemes include mounting the critical surface facing down, using a cover plate with particle trap, and applying electrophoresis or thermophoresis. Both electrophoresis and thermophoresis showed very good protection capabilities. Electrophoresis, however, might be counterproductive due to the unknown particle charge polarity in real situations. A particle trap, on which contaminant particles can deposit before they reach the critical surface, could then be used to collect all particles irrespective of their polarity with a sufficiently high electric field but might not work against zero-charged particles. On the other hand, thermophoresis acts on all particles and transports them in the same direction. Therefore, the upside-down mounting and thermophoresis with the cover plate and particle trap are considered the promising protection schemes for the EUVL mask carrier systems


Journal of Vacuum Science & Technology B | 2006

Investigation of thermophoretic protection with speed-controlled particles at 100, 50, and 25 mTorr

Jung Hyeun Kim; H. Fissan; Christof Asbach; Se-Jin Yook; David Y.H. Pui; Kevin J. Orvek

Thermophoresis is considered as a candidate for protection of extreme ultraviolet lithography masks from particle contamination during vacuum exposures. A thermophoretic force is exerted on a particle by surrounding gas molecules within a temperature gradient. Gas molecules on the “warm side” of the particle provide more momentum than on the “cool side,” so particles move from the warm to the cool region. In this study, thermophoretic protection of a critical surface from particles injected with known initial speeds into a quiescent gas has been investigated at 100, 50, and 25mTorr. Initial particle speed was varied from 10to31m∕s depending on the gap distances (1, 2, and 3cm), particle sizes (125 and 220nm), and system pressures. A pinhole plate is used to supply speed-controlled particles with almost no accompanying gas flow. The results demonstrate that the window of protection offered by thermophoresis is very narrow for inertial particles, and that thermophoresis offers the greatest protection for lo...


Journal of The Electrochemical Society | 2007

Numerical Evaluation of Protection Schemes for EUVL Masks in Carrier Systems Against Horizontal Aerosol Flow

Thomas Engelke; Till van der Zwaag; Christof Asbach; H. Fissan; Jung Hyeun Kim; Se-Jin Yook; David Y.H. Pui

A numerical model, based on the commercial code Fluent, was developed to study the effectiveness of protection schemes for extreme ultraviolet lithography (EUVL) photomasks in mask carriers against particle contamination under atmospheric pressure conditions. The model included the effect of gravity, diffusion, drag force, thermophoresis, and electrophoresis on the particles and was validated against experimental data. Due to good agreement, the model could be extended down to a particle size of 50 nm, which could not experimentally be detected. It was found that electrophoresis can offer very effective protection if the particle charge distribution is unipolar. Thermophoresis also showed very promising results, however, only a small fraction of the particles could be intentionally deposited within a particle trap, surrounding the mask. Maintaining the mask facing down mainly protects the mask against large gravity-driven particles, whereas the protection against small particles requires the use of phoretic contamination control.


Journal of The Electrochemical Society | 2010

Particle Deposition Velocity onto a Wafer or a Photomask in a Laminar Parallel Flow

Se-Jin Yook; Hee-Jae Hwang; Kwan-Soo Lee; Kang-Ho Ahn

Particulate contamination is one of the critical problems that decrease product yield in semiconductor manufacturing. It is therefore important to quickly and correctly predict the particle deposition velocity for controlling the level of particulate contamination. Gaussian diffusion sphere model (GDSM) was employed to predict the mean mass transfer coefficient over a flat plate in a laminar parallel flow. The GDSM was validated for the one-dimensional flat plate by comparing with the laminar boundary layer theory in wide ranges of Schmidt number and fluid temperature. The GDSM was then used to predict the mean mass transfer coefficient over finite flat plates of common areal shapes, i.e., square, rectangle, circle, ellipse, and rhombus, with various aspect ratios. From the GDSM results, the mean Sherwood number correlation was suggested in the form of Sh w = B Re 1/2 w Sc 1/3 . The correlation constant was determined as B = 0.691 for square/rectangular flat plates, B = 0.769 for circular/elliptical flat plates, and B = 0.921 for rhombic flat plates. The applicable Reynolds number range of the correlation was proposed by comparing with the simulation data. Finally, the equation to predict the particle deposition velocity onto a wafer or a photomask surface exposed to a parallel flow was suggested.


Aerosol Science and Technology | 2010

Deposition Velocity onto an Inverted Flat Surface in a Laminar Parallel Flow

Woo-Joo Choi; Se-Jin Yook

Wafers and photomasks in the cleanroom are exposed to airflows not only vertical but also parallel to the surfaces. In this study, Gaussian Diffusion Sphere Model (GDSM) was adjusted to predict deposition velocity onto an inverted flat surface in a laminar parallel flow by considering Brownian diffusion and gravitational settling of aerosol particles. The GDSM was validated by comparing with the simulation of solving flow and aerosol-concentration fields for an inverted flat surface and also with the mass transfer correlation for a finite flat surface of circular or rectangular areal shape. The GDSM was proven to correctly predict the deposition velocities onto the inverted flat surfaces, by taking one hour with a 2.66-GHz-CPU personal computer to obtain deposition velocities for 20 particle sizes, which is a very much shorter time compared with the time for simulating the flow and aerosol-concentration fields. Deposition velocities onto the inverted 45-cm-wafer and 15.2-cm-photomask in parallel airflows were predicted using the GDSM, for the particle size ranging from 0.003 to 1.5 μ m and the airflow velocity varying from 5 to 500 cm/s. The deposition velocity decreased with increasing particle size, with a steep declination especially for particles larger than approximately 0.1 μ m. From the qualitative comparison of the deposition velocities onto the inverted square flat surfaces, representing the photomasks with different orientations in the parallel flow, it was suggested to transport the EUVL photomask with its side facing the airflow rather than with its corner confronting the airflow, in order to minimize particulate contamination.


Journal of Vacuum Science and Technology | 2005

Protection schemes for critical surface in vacuum environments

Jung Hyeun Kim; Christof Asbach; Se-Jin Yook; H. Fissan; Kevin J. Orvek; Arun Ramamoorthy; Pei Yang Yan; David Y.H. Pui

This article presents a study of particle formation during vacuum pump down and methods that can be incorporated to protect critical surfaces (like semiconductor wafers or masks) from those particles. Particle formation during pump down was reexamined with temperature measurements. Particles were intentionally produced with hard pump down for studying protection schemes. For the first step, a face-down approach for a critical surface was used to investigate the effect of protection from particle contamination. It was very effective to hold a critical surface face down for protection with the use of high gravitational settling velocity in vacuum environment. However, the face down approach did not sufficiently protect the critical surface. For the second step, a bottom protective plate was introduced below the critical surface to improve the protection efficiency. The bottom plate played a great role in protection of the critical surface with preventing particle formation near the critical surface by keeping the surrounding gas temperature high enough to avoid particle formation as well as with potential blocking of incoming particles toward the critical surface. Higher gas temperature intrinsically avoids formation of residue particles by the condensation process during pump down.


Journal of Vacuum Science & Technology B | 2005

Modeling of protection schemes for critical surfaces under low pressure conditions: Comparison between analytical and numerical approach

Christof Asbach; a David Y. H. Pui; Jung Hyeun Kim; Se-Jin Yook; H. Fissan

Nanoparticle contamination is one of the crucial issues for the semiconductor industry on the move towards structure sizes of 50nm and below. In extreme ultraviolet lithography (EUVL), a likely successor to optical lithography, the masks cannot be protected by common pellicles. Different protection methods, such as a “thermophoretic pellicle” [L. Klebanoff and D. J. Rader, US Patent No. 6,153,044 (2000) and US Patent No. 6,253,464 B1 (2001)] have therefore been proposed to protect a face-down mask in an EUV scanner, which might be operated at 50mTorr (6.7Pa). In order to quantify the effectiveness of such protection schemes, we developed an analytical model that allows simple determination of the particle stopping distance as a function of particle and gas properties as well as a thermal gradient that might be employed to make use of a thermophoretic force in order to protect the mask. The analytical results indicate that drag force is most effective in slowing down particles, traveling at high initial ve...


Applied Physics Letters | 2005

Analytical modeling of particle stopping distance at low pressure to evaluate protection schemes for extreme ultraviolet lithography masks

Christof Asbach; Jung Hyeun Kim; Se-Jin Yook; David Y.H. Pui; H. Fissan

We developed an analytical model that predicts the distance, particles can travel towards the critical surface of an extreme ultraviolet lithography mask as a function of their initial velocity and all forces (gravity, drag, thermophoresis, and electrophoresis) acting on the particles. To avoid gravitational settling of particles onto the mask, its critical surface is facing down. The model shows that at the low pressure level (50 mTorr), drag force is the dominating force to decelerate particles. Thermophoresis can add additional protection. Electrophoresis can be very effective, however, all particles must be unipolarly charged to make use of the Coulomb force.

Collaboration


Dive into the Se-Jin Yook's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

H. Fissan

University of Duisburg

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Jung Hyeun Kim

Seoul National University

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Gwi-Nam Bae

Korea Institute of Science and Technology

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge