Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Takahiro Kitano is active.

Publication


Featured researches published by Takahiro Kitano.


Proceedings of SPIE | 2012

Pattern scaling with directed self assembly through lithography and etch process integration

Benjamen M. Rathsack; Mark Somervell; Josh Hooge; Makoto Muramatsu; Keiji Tanouchi; Takahiro Kitano; Eiichi Nishimura; Koichi Yatsuda; Seiji Nagahara; Iwaki Hiroyuki; Keiji Akai; Takashi Hayakawa

Directed self-assembly (DSA) has the potential to extend scaling for both line/space and hole patterns. DSA has shown the capability for pitch reduction (multiplication), hole shrinks, CD self-healing as well as a pathway towards line edge roughness (LER) and pattern collapse improvement [1-4]. The current challenges for industry adoption are materials maturity, practical process integration, hardware capability, defect reduction and design integration. Tokyo Electron (TEL) has created close collaborations with customers, consortia and material suppliers to address these challenges with the long term goal of robust manufacturability. This paper provides a wide range of DSA demonstrations to accommodate different device applications. In collaboration with IMEC, directed line/space patterns at 12.5 and 14 nm HP are demonstrated with PS-b-PMMA (poly(styrene-b-methylmethacrylate)) using both chemo and grapho-epitaxy process flows. Pre-pattern exposure latitudes of >25% (max) have been demonstrated with 4X directed self-assembly on 300 mm wafers for both the lift off and etch guide chemo-epitaxy process flows. Within TELs Technology Development Center (TDC), directed selfassembly processes have been applied to holes for both CD shrink and variation reduction. Using a PS-b-PMMA hole shrink process, negative tone developed pre-pattern holes are reduced to below 30 nm with critical dimension uniformity (CDU) of 0.9 nm (3s) and contact edge roughness (CER) of 0.8 nm. To generate higher resolution beyond a PS-b-PMMA system, a high chi material is used to demonstrate 9 nm HP line/ space post-etch patterns. In this paper, TEL presents process solutions for both line/space and hole DSA process integrations.


Journal of Micro-nanolithography Mems and Moems | 2013

Contact hole shrink process using graphoepitaxial directed self-assembly lithography

Yuriko Seino; Hiroki Yonemitsu; Hironobu Sato; Masahiro Kanno; Hirokazu Kato; Katsutoshi Kobayashi; Ayako Kawanishi; Tsukasa Azuma; Makoto Muramatsu; Seiji Nagahara; Takahiro Kitano; Takayuki Toshima

Abstract. A contact hole shrink process using directed self-assembly lithography (DSAL) for sub-30 nm contact hole patterning is reported on. DSAL using graphoepitaxy and poly (styrene-block-methyl methacrylate) (PS-b-PMMA) a block copolymer (BCP) was demonstrated and characteristics of our process are spin-on-carbon prepattern and wet development. Feasibility of DSAL for semiconductor device manufacturing was investigated in terms of DSAL process window. Wet development process was optimized first; then critical dimension (CD) tolerance of prepattern was evaluated from three different aspects, which are DSA hole CD, contact edge roughness (CER), and hole open yield. Within 70+/−5  nm hole prepattern CD, 99.3% hole open yield was obtained and CD tolerance was 10 nm. Matching between polymer size and prepattern size is critical, because thick PS residual layer appears at the hole bottom when the prepattern holes are too small or too large and results in missing holes after pattern transfer. We verified the DSAL process on a 300-mm wafer at target prepattern CD and succeeded in patterning sub-30 nm holes on center, middle, and edge of wafer. Average prepattern CD of 72 nm could be shrunk uniformly to DSA hole pattern of 28.5 nm. By the DSAL process, CD uniformity was greatly improved from 7.6 to 1.4 nm, and CER was also improved from 3.9 to 0.73 nm. Those values represent typical DSAL rectification characteristics and are significant for semiconductor manufacturing. It is clearly demonstrated that the contact hole shrink using DSAL is a promising patterning method for next-generation lithography.


Proceedings of SPIE | 2013

Advances in directed self assembly integration and manufacturability at 300 mm

Benjamen M. Rathsack; Mark Somervell; Makato Muramatsu; Keiji Tanouchi; Takahiro Kitano; Eiichi Nishimura; Koichi Yatsuda; Seiji Nagahara; Hiroyuki Iwaki; Keiji Akai; Mariko Ozawa; Ainhoa Romo Negreira; Shigeru Tahara; Kathleen Nafus

Directed self-assembly (DSA) has the potential to extend scaling for both line/space and hole patterns. DSA has shown the capability for pitch reduction (multiplication), hole shrinks, CD self-healing as well as a pathway towards LWR and pattern collapse improvement [1-10]. TEL has developed a DSA development ecosystem (collaboration with customers, consortia, inspection vendors and material suppliers) to successfully demonstrate directed PS-PMMA DSA patterns using chemo-epitaxy (lift-off and etch guide) and grapho-epitaxy integrations on 300 mm wafers. New processes are being developed to simplify process integration, to reduce defects and to address design integration challenges with the long term goal of robust manufacturability. For hole DSA applications, a wet development process has been developed that enables traditional post-develop metrology through the high selectivity removal of PMMA cylindrical cores. For line/ space DSA applications, new track, cleans and etch processes have been developed to improve manufacturability. In collaboration with universities and consortia, fundamental process studies and simulations are used to drive process improvement and defect investigation. To extend DSA resolution beyond a PS-PMMA system, high chi materials and processes are also explored. In this paper, TEL’s latest process solutions for both hole and line/space DSA process integrations are presented.


Proceedings of SPIE | 2013

Dissipative particle dynamics study on directed self-assembly in holes

T. Nakano; M. Matsukuma; K. Matsuzaki; Makoto Muramatsu; Tadatoshi Tomita; Takahiro Kitano

We report morphology of cylinder of diblock copolymers (BCP), which consist of polymer A and B, in cylindrical prepattern holes by dissipative particle dynamics simulation in order to predict optimal cylinder profile. Configuration of cylinder which consists of polymer B changes along with change of affinity of underlayer and guide wall for BCP. In the case of underlayer, neutral to both the polymer species shows the most stable cylinder shape. When affinity converts to either polymer, cylinder shape gets distorted. In the case of intergrading guide wall condition from A wet to B wet for a certain hole CD, polymer B, that constitutes cylinder, gradually loosen and stack on the guide eventually. Moreover cylinder forms again for B wet larger hole. Free energy for hole CD is also investigated and the profile shows A wet wall and B wet wall are suitable for hole shrink in a narrow and wide range of hole CD, respectively. Because free energy of A wet wall varies widely for hole CD change. In contrast, free energy of B wet wall exhibits no significant changes and the profiles signify that cylinder shapes relatively stable in wider range than A wet wall.


Proceedings of SPIE | 2012

Contact hole shrink process using directed self-assembly

Yuriko Seino; Hiroki Yonemitsu; Hironobu Sato; Masahiro Kanno; Hikazu Kato; Katsutoshi Kobayashi; Ayako Kawanishi; Tsukasa Azuma; Makoto Muramatsu; Seiji Nagahara; Takahiro Kitano; Takayuki Toshima

We report on a contact hole shrink process using directed self-assembly. A diblock copolymer, poly (styrene-blockmethyl methacrylate) (PS-b-PMMA), is used to shrink contact holes. Contact hole guide patterns for graphoepitaxy are formed by ArF photoresists. Cylindrical domains of PMMA is removed using organic solvents after DUV (λ <200 nm) irradiation. In this work, it is found that a solvent system is the best developer from the evaluated single solvent systems and mixed solvent systems. The wet development of PS-b-PMMA strongly depends on total exposure dose of DUV irradiation. With lower exposure dose, the cylindrical domains of PMMA are not clearly removed. With optimum exposure dose, PMMA is developed clearly. The contact hole guide patterns of 75 nm in diameter are successfully shrunk to 20 nm in diameter using the wet development process.


Proceedings of SPIE | 2014

Simulation analysis of directed self-assembly for hole multiplication in guide pattern

Makoto Muramatsu; T. Nakano; Tadatoshi Tomita; K. Yamamoto; K. Matsuzaki; Takahiro Kitano

In this report, morphology of cylinders by block copolymer (BCP) in the corner rounded rectangle guide patterns is analyzed by simulation and compared with experimental results. In the case of the hole-multiplication, selection the guide pattern size and the affinity of wall and under layer is necessary for stable micro structure. To search the good guide conditions, Ohta-Kawasaki (OK) model and dissipative particle dynamics (DPD) are used. OK model is well known as low cost simulation method, therefore it is expected to use for searching the good guide area roughly from wide range. DPD is one of the strong candidates for DSA simulation, and it is used for prediction of the micro structure. As results, the guide size area which has two PMMA cylinders by 2D OK model seems consistent with experimental results, 3D micro structure by OK model and DPD are comparable, 3D simulations have good agreements with experimental results observed by CD-SEM and STEM. Especially two cylinders connected each other at some point predicted by 3D simulation is observed actually. These simulation approaches will be important to decide the lithography mask design, film stack and pre-treatment conditions for more complex multiplication process, for example, the cut mask application.


Proceedings of SPIE | 2014

High-volume manufacturing equipment and processing for directed self-assembly applications

Mark Somervell; Takashi Yamauchi; Soichiro Okada; Tadatoshi Tomita; Takanori Nishi; Etsuo Iijima; T. Nakano; Takumi Ishiguro; Seiji Nagahara; Hiroyuki Iwaki; Makiko Dojun; Mariko Ozawa; Koichi Yatsuda; Toshikatsu Tobana; Ainhoa Romo Negreira; Doni Parnell; Shinchiro Kawakami; Makoto Muramatsu; Benjamen M. Rathsack; Kathleen Nafus; Jean-Luc Peyre; Takahiro Kitano

Directed Self-Assembly (DSA) is one of the most promising technologies for scaling feature sizes to 16 nm and below. Both line/space and hole patterns can be created with various block copolymer morphologies, and these materials allow for molecular-level control of the feature shapes—exactly the characteristics that are required for creating high fidelity lithographic patterns. Over the past five years, the industry has been addressing the technical challenges of maturing this technology by addressing concerns such as pattern defectivity, materials specifications, design layout, and tool requirements. Though the learning curve has been steep, DSA has made significant progress toward implementation in high-volume manufacturing. Tokyo Electron has been focused on the best methods of achieving high-fidelity patterns using DSA processing. Unlike other technologies where optics and photons drive the formation of patterns, DSA relies on surface interactions and polymer thermodynamics to determine the final pattern shapes. These phenomena, in turn, are controlled by the processing that occurs on clean-tracks, etchers, and cleaning systems, and so a host of new technology has been developed to facilitate DSA. In this paper we will discuss the processes and hardware that are emerging as critical enablers for DSA implementation, and we will also demonstrate the kinds of high fidelity patterns typical of mainstream DSA integrations.


Proceedings of SPIE | 2015

Driving DSA into volume manufacturing

Mark Somervell; Takashi Yamauchi; Soichiro Okada; Tadatoshi Tomita; Takanori Nishi; Shinichiro Kawakami; Makoto Muramatsu; Etsuo Iijima; Vinayak Rastogi; T. Nakano; Fumiko Iwao; Seiji Nagahara; Hiroyuki Iwaki; Makiko Dojun; Koichi Yatsuda; Toshikatsu Tobana; Ainhoa Romo Negreira; Doni Parnell; Benjamen M. Rathsack; Kathleen Nafus; Jean-Luc Peyre; Takahiro Kitano

Directed Self-Assembly (DSA) is being extensively evaluated for application in semiconductor process integration.1-7 Since 2011, the number of publications on DSA at SPIE has exploded from roughly 26 to well over 80, indicating the groundswell of interest in the technology. Driving this interest are a number of attractive aspects of DSA including the ability to form both line/space and hole patterns at dimensions below 15 nm, the ability to achieve pitch multiplication to extend optical lithography, and the relatively low cost of the processes when compared with EUV or multiple patterning options. Tokyo Electron Limited has focused its efforts in scaling many laboratory demonstrations to 300 mm wafers. Additionally, we have recognized that the use of DSA requires specific design considerations to create robust layouts. To this end, we have discussed the development of a DSA ecosystem that will make DSA a viable technology for our industry, and we have partnered with numerous companies to aid in the development of the ecosystem. This presentation will focus on our continuing role in developing the equipment required for DSA implementation specifically discussing defectivity reduction on flows for making line-space and hole patterns, etch transfer of DSA patterns into substrates of interest, and integration of DSA processes into larger patterning schemes.


Proceedings of SPIE | 2017

Pattern defect reduction and LER improvement of chemo-epitaxy DSA process

Makoto Muramatsu; Takanori Nishi; Gen You; Yusuke Saito; Yasuyuki Ido; Noriaki Oikawa; Toshikatsu Tobana; Kiyohito Ito; Shinya Morikita; Takahiro Kitano

Directed self-assembly (DSA) has been investigated over the past few years as the candidate for next generation lithography. Especially, sub 20nm line and space patterns obtained by chemo-epitaxy process are expected to apply to DRAM active area, Logic fin and narrow metal patterns. One of the biggest advantages of DSA lines is that the pattern pitch is decided by the specific factors of the block copolymer, and it indeed the small pitch walking as a consequence. However, the generating mechanism of the DSA pattern defect is still not cleared1-4 and the line edge roughness (LER) is not overtaken self- aligned quadruple patterning (SAQP). In this report, we present the latest results regarding the defect reduction and LER improvement work regarding chemoepitaxy line and space pattern. In addition, we introduce the result of application of chemical epitaxy process to hole pattern.


Proceedings of SPIE | 2016

Pattern fidelity improvement of chemo-epitaxy DSA process for high-volume manufacturing

Makoto Muramatsu; Takanori Nishi; Gen You; Yusuke Saito; Yasuyuki Ido; Kiyohito Ito; Toshikatsu Tobana; Masanori Hosoya; Weichien Chen; Satoru Nakamura; Mark Somervell; Takahiro Kitano

Directed self-assembly (DSA) is one of the candidates for next generation lithography. Over the past few years, cylindrical and lamellar structures dictated by the block co-polymer (BCP) composition have been investigated for use in patterning contact holes or lines, and, Tokyo Electron Limited (TEL is a registered trademark or a trademark of Tokyo Electron Limited in Japan and /or other countries.) has presented the evaluation results and the advantages of each-1-5. In this report, we will present the latest results regarding the defect reduction work on a model line/space system. Especially it is suggested that the defectivity of the neutral layer has a large impact on the defectivity of the DSA patterns. Also, LER/LWR reduction results will be presented with a focus on the improvements made during the etch transferring the DSA patterns into the underlayer.

Collaboration


Dive into the Takahiro Kitano's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge