Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Shashikanth Bobba is active.

Publication


Featured researches published by Shashikanth Bobba.


international electron devices meeting | 2011

Advances, challenges and opportunities in 3D CMOS sequential integration

Perrine Batude; M. Vinet; B. Previtali; C. Tabone; C. Xu; J. Mazurier; O. Weber; F. Andrieu; L. Tosti; L. Brevard; B. Sklénard; Perceval Coudrain; Shashikanth Bobba; H. Ben Jamaa; P.-E. Gaillardon; A. Pouydebasque; O. Thomas; C. Le Royer; J.-M. Hartmann; L. Sanchez; L. Baud; V. Carron; L. Clavelier; G. De Micheli; S. Deleonibus; O. Faynot; T. Poiroux

3D sequential integration enables the full use of the third dimension thanks to its high alignment performance. In this paper, we address the major challenges of 3D sequential integration: in particular, the control of molecular bonding allows us to obtain pristine quality top active layer. With the help of Solid Phase Epitaxy, we can match the performance of top FET, processed at low temperature (600°C), with the bottom FET devices. Finally, the development of a stable salicide enables to retain bottom performance after top FET processing. Overcoming these major technological issues offers a wide range of applications.


ieee international d systems integration conference | 2010

Performance analysis of 3-D monolithic integrated circuits

Shashikanth Bobba; Ashutosh Chakraborty; O. Thomas; Perrine Batude; Vasilis F. Pavlidis; Giovanni De Micheli

3-D monolithic integration (3DMI), also termed as sequential integration, is a potential technology for future gigascale circuits. Since the device layers are processed in sequential order, the size of the vertical contacts is similar to traditional contacts unlike in the case of parallel 3-D integration with through silicon vias (FSVs). Given the advantage of such small contacts, 3DMI supports stacking active layers such that fine-grain integration of 3-D circuits can be implemented. This paper extends the idea of constructing the standard cells across two active layers, forming 3-D cells, to reduce the overall area and interconnect wirelength of a circuit. To demonstrate the effect of the 3DMI technology on these important parameters of circuit design, two important communication blocks are evaluated. Specifically, a low-density-parity-check (LDPC) decoder as a sample of interconnect-dominated circuit and a data-encryption-standard (DES) block, which is good instance of a gate dominated circuit, are investigated. By employing 3-D cells in the conventional design flow chain, there is more than 10% decrease in wirelength for both circuits (in wirelength driven placement mode). However, when subjected to timing driven placement a slight reduction in delay (1.6%) is observed for an LDPC decoder, whereas for the DES block considerable delay reduction (14.22%) is achieved.


asia and south pacific design automation conference | 2011

CELONCEL: effective design technique for 3-D monolithic integration targeting high performance integrated circuits

Shashikanth Bobba; Ashutosh Chakraborty; O. Thomas; Perrine Batude; Thomas Ernst; O. Faynot; David Z. Pan; Giovanni De Micheli

3-D monolithic integration (3DMI), also termed as sequential integration, is a potential technology for future gigascale circuits. Since the device layers are processed in sequential order, the size of the vertical contacts is similar to traditional contacts unlike in the case of parallel 3-D integration with through silicon vias (TSVs). Given the advantage of such small contacts, 3DMI enables manufacturing multiple active layers very close to each other. In this work we propose two different strategies of stacking standard cells in 3-D without breaking the regularity of the conventional design flow: a) Vertical stacking of diffusion areas (Intra-Cell stacking) that supports complete reuse of 2-D physical design tools and b) vertical stacking of cells over others (Cell-on-Cell stacking). A placement tool (CELONCEL-placer) targeting the Cell-on-Cell placement problem is proposed to allow high quality 3-D layout generation. Our experiments demonstrate the effectiveness of CELONCEL technique, fetching us an area gain of 37.5%, 15.51% reduction in wirelength, and 13.49% improvement in overall delay, compared with a 2-D case when benchmarked across an interconnect dominated low-density-parity-check (LDPC) decoder at 45nm technology node.


design automation conference | 2010

Carbon nanotube correlation: promising opportunity for CNFET circuit yield enhancement

Jie Zhang; Shashikanth Bobba; Nishant Patil; Albert Lin; H.-S. Philip Wong; Giovanni De Micheli; Subhasish Mitra

Carbon Nanotubes (CNTs) are grown using chemical synthesis, and the exact positioning and chirality of CNTs are very difficult to control. As a result, “small-width” Carbon Nanotube Field-Effect Transistors (CNFETs) can have a high probability of containing no semiconducting CNTs, resulting in CNFET failures. Upsizing these vulnerable small-width CNFETs is an expensive design choice since it can result in substantial area/power penalties. This paper introduces a processing/design co-optimization approach to reduce probability of CNFET failures at the chip-level. Large degree of spatial correlation observed in directional CNT growth presents a unique opportunity for such optimization. Maximum benefits from such correlation can be realized by enforcing the active regions of CNFETs to be aligned with each other. This approach relaxes the device-level failure probability requirement by 350X at the 45nm technology node, leading to significantly reduced costs associated with upsizing the small-width CNFETs.


design, automation, and test in europe | 2009

Design of compact imperfection-immune CNFET layouts for standard-cell-based logic synthesis

Shashikanth Bobba; Jie Zhang; Antonio Pullini; David Atienza; Giovanni De Micheli

The quest for technologies with superior device characteristics has showcased carbon nanotube field effect transistors (CNFETs) into limelight. Among the several design aspects necessary for todays grail in CNFET technology, achieving functional immunity to carbon nanotube (CNT) manufacturing issues (such as mispositioned CNTs and metallic CNTs) is of paramount importance. In this work we present a new design technique to build compact layouts while ensuring 100% functional immunity to mispositioned CNTs. Then, as second contribution of this work, we have developed a CNFET design kit (DK) to realize a complete design flow from logic-to-GDSII traversing the conventional CMOS design flow. This flow enables a framework that allows accurate comparison between CMOS and CNFET-based circuits. This paper also presents simulation results to illustrate such analysis, namely, a CNFET-based inverter can achieve gains, with respect to the energy-delay product (EDP) metric, of more than 4times in delay, 2times in energy/cycle and significant area savings (more than 30%) when compared to a corresponding CMOS inverter benchmarked with an industrial 65 nm technology.


Philosophical Transactions of the Royal Society A | 2014

Nanowire systems: technology and design.

Pierre-Emmanuel Gaillardon; Luca Gaetano Amarù; Shashikanth Bobba; Michele De Marchi; Davide Sacchetto; Giovanni De Micheli

Nanosystems are large-scale integrated systems exploiting nanoelectronic devices. In this study, we consider double independent gate, vertically stacked nanowire field effect transistors (FETs) with gate-all-around structures and typical diameter of 20 nm. These devices, which we have successfully fabricated and evaluated, control the ambipolar behaviour of the nanostructure by selectively enabling one type of carriers. These transistors work as switches with electrically programmable polarity and thus realize an exclusive or operation. The intrinsic higher expressive power of these FETs, when compared with standard complementary metal oxide semiconductor technology, enables us to realize more efficient logic gates, which we organize as tiles to realize nanowire systems by regular arrays. This article surveys both the technology for double independent gate FETs as well as physical and logic design tools to realize digital systems with this fabrication technology.


ifip ieee international conference on very large scale integration | 2012

GMS: Generic memristive structure for non-volatile FPGAs

Pierre-Emmanuel Gaillardon; Davide Sacchetto; Shashikanth Bobba; Yusuf Leblebici; Giovanni De Micheli

The invention of the memristor enables new possibilities for computation and non-volatile memory storage. In this paper we propose a Generic Memristive Structure (GMS) for 3-D FPGA applications. The GMS cell is demonstrated to be utilized for steering logic useful for multiplexing signals, thus replacing the traditional pass-gates in FPGAs. Moreover, the same GMS cell can be utilized for programmable memories as a replacement for the SRAMs employed in the look-up tables of FPGAs. A fabricated GMS cell is presented and its use in FPGA architecture is demonstrated by the area and delay improvement for several architectural benchmarks.


design automation conference | 2012

Physical synthesis onto a Sea-of-Tiles with double-gate silicon nanowire transistors

Shashikanth Bobba; Michele De Marchi; Yusuf Leblebici; Giovanni De Micheli

We have designed and fabricated double-gate ambipolar field-effect transistors, which exhibit p-type and n-type characteristics by controlling the polarity of the second gate. In this work, we present an approach for designing an efficient regular layout, called Sea-of-Tiles (SoTs). First, we address gate-level routing congestion by proposing compact layout techniques and novel symbolic-layout styles. Second, we design four logic tiles, which form the basic building block of the SoT fabric. We run extensive comparisons of mapping standard benchmarks on the SoT. Our study shows that SoT with TileG2 and TileG1h2, on an average, outperforms the one with TileG1 and TileG3 by 16% and 10% in area utilization, respectively.


design, automation, and test in europe | 2013

Vertically-stacked double-gate nanowire FETs with controllable polarity: from devices to regular ASICs

Pierre-Emmanuel Gaillardon; Luca Gaetano Amarù; Shashikanth Bobba; Michele De Marchi; Davide Sacchetto; Yusuf Leblebici; Giovanni De Micheli

Vertically stacked nanowire FETs (NWFETs) with gate-all-around structure are the natural and most advanced extension of FinFETs. At advanced technology nodes, many devices exhibit ambipolar behavior, i.e., the device shows n- and p-type characteristics simultaneously. In this paper, we show that, by engineering of the contacts and by constructing independent double-gate structures, the device polarity can be electrostatically programmed to be either n- or p-type. Such a device enables a compact realization of XOR-based logic functions at the cost of a denser interconnect. To mitigate the added area/routing overhead caused by the additional gate, an approach for designing an efficient regular layout, called Sea-of-Tiles is presented. Then, specific logic synthesis techniques, supporting the higher expressive power provided by this technology, are introduced and used to showcase the performance of the controllable-polarity NWFETs circuits in comparison with traditional CMOS circuits.


international symposium on nanoscale architectures | 2012

Process/design co-optimization of regular logic tiles for double-gate silicon nanowire transistors

Shashikanth Bobba; P.-E. Gaillardon; Jian Zhang; M. De Marchi; Davide Sacchetto; Yusuf Leblebici; G. De Micheli

Ambipolar transistors with on-line configurability to n-type and p-type polarity are desirable for future integrated circuits. Regular logic tiles have been recognized as an efficient layout fabric for ambipolar devices. In this work, we present a process/design co-optimization approach for designing logic tiles for double-gate silicon nanowire field effect transistors (DG-SiNWFET) technology. A compact Verilog-A model of the device is extracted from TCAD simulations. Cell libraries with different tile configurations are mapped to study the performance of DG-SiNWFET technology at various technology nodes. With an optimal tile size comprising of 6 vertically-stacked nanowires, we observe 1.6x improvement in area, 2x decrease in the leakage power and 1.8x improvement in delay when compared to Si-CMOS.

Collaboration


Dive into the Shashikanth Bobba's collaboration.

Top Co-Authors

Avatar

Giovanni De Micheli

École Polytechnique Fédérale de Lausanne

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Davide Sacchetto

École Polytechnique Fédérale de Lausanne

View shared research outputs
Top Co-Authors

Avatar

Yusuf Leblebici

École Polytechnique Fédérale de Lausanne

View shared research outputs
Top Co-Authors

Avatar

Michele De Marchi

École Polytechnique Fédérale de Lausanne

View shared research outputs
Top Co-Authors

Avatar

O. Thomas

National University of Ireland

View shared research outputs
Top Co-Authors

Avatar

Ashutosh Chakraborty

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

G. De Micheli

École Polytechnique Fédérale de Lausanne

View shared research outputs
Researchain Logo
Decentralizing Knowledge