Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Pierre-Emmanuel Gaillardon is active.

Publication


Featured researches published by Pierre-Emmanuel Gaillardon.


IEEE Journal on Emerging and Selected Topics in Circuits and Systems | 2012

3-D Sequential Integration: A Key Enabling Technology for Heterogeneous Co-Integration of New Function With CMOS

Perrine Batude; Thomas Ernst; Julien Arcamone; Grégory Arndt; Perceval Coudrain; Pierre-Emmanuel Gaillardon

3-D sequential integration stands out from other 3-D schemes as it enables the full use of the third dimension. Indeed, in this approach, 3-D contact density matches with the transistor scale. In this paper, we report on the main advances enabling the demonstration of functional and performant stacked CMOS-FETs; i.e., wafer bonding, low temperature processes (<;650°C) and salicide stabilization achievements. This integration scheme enables fine grain partitioning and thus a gain in performance versus cost ratio linked to separation of heterogeneous technologies on distinct levels. In this work, we will detail examples taking advantage of the unique 3-D contact pitch achieved with sequential 3-D.


design automation conference | 2014

Majority-Inverter Graph: A Novel Data-Structure and Algorithms for Efficient Logic Optimization

Luca Gaetano Amarù; Pierre-Emmanuel Gaillardon; Giovanni De Micheli

In this paper, we present Majority-Inverter Graph (MIG), a novel logic representation structure for efficient optimization of Boolean functions. An MIG is a directed acyclic graph consisting of three-input majority nodes and regular/complemented edges. We show that MIGs include any AND/OR/Inverter Graphs (AOIGs), containing also the wellknown AIGs. In order to support the natural manipulation of MIGs, we introduce a new Boolean algebra, based exclusively on majority and inverter operations, with a complete axiomatic system. Theoretical results show that it is possible to explore the entire MIG representation space by using only five primitive transformation rules. Such feature opens up a great opportunity for logic optimization and synthesis. We showcase the MIG potential by proposing a delay-oriented optimization technique. Experimental results over MCNC benchmarks show that MIG optimization reduces the number of logic levels by 18%, on average, with respect to AIG optimization performed by ABC academic tool. Employed in a traditional optimization-mapping circuit synthesis flow, MIG optimization enables an average reduction of {22%, 14%, 11%} in the estimated {delay, area, power} metrics, before physical design, as compared to academic/commercial synthesis flows.


design automation conference | 2011

Can we go towards true 3-D architectures?

Pierre-Emmanuel Gaillardon; Haykel Ben-Jamaa; Paul-Henry Morel; Jean-Philippe Noel; Fabien Clermidy; Ian O'Connor

Thanks to recent technology advances, the exploration of the vertical dimension has been shown to be more than a dream for designers. Among those technologies, the vertical transistor has not been exploited yet. This paper describes a novel implementation of logic gates fully benefiting of nanowire-based vertical transistors embedded within the metal lines. The logic design in this technology is explored and its performance is evaluated. A comparison made on an equivalent technology node shows that our cells reduce area and delay by a factor of 31x and 2x respectively. Large reconfigurable logic circuits have been benchmarked showing an improvement of area and delay by 46% and 48% on average.


IEEE Electron Device Letters | 2014

Configurable Logic Gates Using Polarity Controlled Silicon Nanowire Gate-All-Around FETs

Michele De Marchi; Jian Zhang; Stefano Frache; Davide Sacchetto; Pierre-Emmanuel Gaillardon; Yusuf Leblebici; Giovanni De Micheli

This letter demonstrates the first fabricated four-transistor logic gates using polarity-configurable, gate-all-around silicon nanowire transistors. This technology enhances conventional CMOS functionality by adding the degree of freedom of dynamic polarity control n- or p-type. In addition, devices are fabricated with low, uniform doping profiles, reducing constraints at scaled technology nodes. We demonstrate through measurements and simulations how this technology can be applied to fabricate logic gates with fewer resources than CMOS. In particular, full-swing output XOR and NAND logic gates are demonstrated using the same physical four-transistor circuit.


international conference on electronics, circuits, and systems | 2010

Emerging memory technologies for reconfigurable routing in FPGA architecture

Pierre-Emmanuel Gaillardon; M. Haykel Ben-Jamaa; Giovanni Betti Beneventi; Fabien Clermidy; Luca Perniola

Emerging non-volatile resistive memories such as Phase Change Memories (PCMs) are promising candidates to replace Flash and SRAM memories in some applications. This paper introduces a novel memory node for Field-Programmable Gate Arrays (FPGAs). We propose an elementary circuit storing the reconfiguration signals by means of two resistive memories and one programming transistor. The area and write time of the proposed node are investigated and their impact on complex circuits is assessed. We show that the elementary memory node yields an improvement in area and write time of 3.4× and 16× respectively vs. a regular Flash implementation. We use the designed memory node to reconfigure the switchbox in the routing part of FPGAs, and we demonstrate a delay reduction up to 50% on a benchmark of logic circuits that we mapped on an FPGA architecture thanks to the area saving and especially to the low on-resistance of PCMs.


Philosophical Transactions of the Royal Society A | 2014

Nanowire systems: technology and design.

Pierre-Emmanuel Gaillardon; Luca Gaetano Amarù; Shashikanth Bobba; Michele De Marchi; Davide Sacchetto; Giovanni De Micheli

Nanosystems are large-scale integrated systems exploiting nanoelectronic devices. In this study, we consider double independent gate, vertically stacked nanowire field effect transistors (FETs) with gate-all-around structures and typical diameter of 20 nm. These devices, which we have successfully fabricated and evaluated, control the ambipolar behaviour of the nanostructure by selectively enabling one type of carriers. These transistors work as switches with electrically programmable polarity and thus realize an exclusive or operation. The intrinsic higher expressive power of these FETs, when compared with standard complementary metal oxide semiconductor technology, enables us to realize more efficient logic gates, which we organize as tiles to realize nanowire systems by regular arrays. This article surveys both the technology for double independent gate FETs as well as physical and logic design tools to realize digital systems with this fabrication technology.


IEEE Transactions on Nanotechnology | 2013

Design and Architectural Assessment of 3-D Resistive Memory Technologies in FPGAs

Pierre-Emmanuel Gaillardon; Davide Sacchetto; G. B. Beneventi; M. Haykel Ben Jamaa; L. Perniola; Fabien Clermidy; Ian O'Connor; G. De Micheli

Emerging nonvolatile memories (eNVMs) such as phase-change random access memories (PCRAMs) or oxide-based resistive random access memories (OxRRAMs) are promising candidates to replace Flash and Static Random Access Memories in many applications. This paper introduces a novel set of building blocks for field-programmable gate arrays (FPGAs) using eNVMs. We propose an eNVM-based configuration point, a look-up table structure with reduced programming complexity and a high-performance switchbox arrangement. We show that these blocks yield an improvement in area and write time of up to 3× and 33×, respectively, versus a regular Flash implementation. By integrating the designed blocks in an FPGA, we demonstrate an area and delay reduction of up to 28% and 34%, respectively, on a set of benchmark circuits. These reductions are due to the eNVM 3-D integration and to their low on-resistance state value. Finally, we survey many flavors of the technologies and we show that the best results in terms of area and delay are obtained with Pt/TiO2/Pt stack, while the lowest leakage power is achieved by InGeTe stack.


asian test symposium | 2014

Leveraging Emerging Technology for Hardware Security - Case Study on Silicon Nanowire FETs and Graphene SymFETs

Yu Bi; Pierre-Emmanuel Gaillardon; X. Sharon Hu; Michael Niemier; Jiann-Shiun Yuan; Yier Jin

Hardware security concerns such as IP piracy and hardware Trojans have triggered research into circuit protection and malicious logic detection from various design perspectives. In this paper, emerging technologies are investigated by leveraging their unique properties for applications in the hardware security domain. Three example circuit structures including camouflaging gates, polymorphic gates and power regulators are designed to prove the high efficiency of silicon nanowire FETs and graphene Sym FET in applications such as circuit protection and IP piracy prevention. Simulation results indicate that highly efficient and secure circuit structures can be achieved via the use of emerging technologies.


IEEE Transactions on Nanotechnology | 2014

Top-Down Fabrication of Gate-All-Around Vertically-Stacked Silicon Nanowire FETs with Controllable Polarity

Michele De Marchi; Davide Sacchetto; Jian Zhang; Stefano Frache; Pierre-Emmanuel Gaillardon; Yusuf Leblebici; Giovanni De Micheli

As the current MOSFET scaling trend is facing strong limitations, technologies exploiting novel degrees of freedom at physical and architecture level are promising candidates to enable the continuation of Moores predictions. In this paper, we report on the fabrication of novel ambipolar Silicon nanowire (SiNW) Schottky-barrier (SB) FET transistors featuring two independent gate-all-around electrodes and vertically stacked SiNW channels. A top-down approach was employed for the nanowire fabrication, using an e-beam lithography defined design pattern. In these transistors, one gate electrode enables the dynamic configuration of the device polarity (n - or p-type) by electrostatic doping of the channel in proximity of the source and drain SBs. The other gate electrode, acting on the center region of the channel switches ON or OFF the device. Measurement results on silicon show Ion/Ioff >106 and subthreshold slopes approaching the thermal limit, ≈ 64 mV/dec (70 mV/dec) for p(n)-type operation in the same physical device. Finally, we show that the XOR logic operation is embedded in the device characteristic, and we demonstrate for the first time a fully functional two-transistor XOR gate.


ifip ieee international conference on very large scale integration | 2012

GMS: Generic memristive structure for non-volatile FPGAs

Pierre-Emmanuel Gaillardon; Davide Sacchetto; Shashikanth Bobba; Yusuf Leblebici; Giovanni De Micheli

The invention of the memristor enables new possibilities for computation and non-volatile memory storage. In this paper we propose a Generic Memristive Structure (GMS) for 3-D FPGA applications. The GMS cell is demonstrated to be utilized for steering logic useful for multiplexing signals, thus replacing the traditional pass-gates in FPGAs. Moreover, the same GMS cell can be utilized for programmable memories as a replacement for the SRAMs employed in the look-up tables of FPGAs. A fabricated GMS cell is presented and its use in FPGA architecture is demonstrated by the area and delay improvement for several architectural benchmarks.

Collaboration


Dive into the Pierre-Emmanuel Gaillardon's collaboration.

Top Co-Authors

Avatar

Giovanni De Micheli

École Polytechnique Fédérale de Lausanne

View shared research outputs
Top Co-Authors

Avatar

Xifan Tang

École Polytechnique Fédérale de Lausanne

View shared research outputs
Top Co-Authors

Avatar

Davide Sacchetto

École Polytechnique Fédérale de Lausanne

View shared research outputs
Top Co-Authors

Avatar

Mathias Soeken

École Polytechnique Fédérale de Lausanne

View shared research outputs
Top Co-Authors

Avatar

Yusuf Leblebici

École Polytechnique Fédérale de Lausanne

View shared research outputs
Top Co-Authors

Avatar

Jian Zhang

École Polytechnique Fédérale de Lausanne

View shared research outputs
Top Co-Authors

Avatar

Michele De Marchi

École Polytechnique Fédérale de Lausanne

View shared research outputs
Top Co-Authors

Avatar

Ian O'Connor

École centrale de Lyon

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge