Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Shoji Yoshikawa is active.

Publication


Featured researches published by Shoji Yoshikawa.


SPIE Photomask Technology | 2013

EUV patterned mask inspection system using a projection electron microscope technique

Hidehiro Watanabe; Ryoichi Hirano; Susumu Iida; Tsuyoshi Amano; Tsuneo Terasawa; Masahiro Hatakeyama; Takeshi Murakami; Shoji Yoshikawa; Kenji Terao

The concept and the current status of a newly developed PEM pattern inspection system are presented. An image-processing technique with learning functions to enhance the system’s detection capability is investigated. Highly accelerated electrons employed here in electron-optics function as an enabler to improve the image resolution and transmittance in the system, and to acquire an image contrast of 0.5 in a half pitch (hp) 64 nm lines and space pattern. This process also results in the formation of an electron image with more than 3000 electrons per pixel on a sensor. The image-processing system was also developed for die-to-die inspection. The alignment error is minimized to a negligibly small size by a continuous 2D pattern matching. An ensemble of signal characteristics enables the identification of any defect signal in a noisy electron image. The developed detection system met the requirements for hp16 nm generation.


Photomask Technology 2013 | 2013

Development of inspection system for EUV mask with novel projection electron microscopy (PEM)

Masahiro Hatakeyama; Takeshi Murakami; Kenji Terao; Kenji Watanabe; Shoji Yoshikawa; Tsuyoshi Amano; Ryoichi Hirano; Susumu Iida; Tsuneo Terasawa; Hidehiro Watanabe

In order to realize EUV mask pattern defect inspection in 16nm node, we have developed new optics on a novel projection electron microscopy (PEM) and a new inspection system with the new optics and a new mask handling and imaging units, e.g., a high precision stage, an imaging detector, an imaging processing system, and so. on. This inspection system enables us to make the inspection in high resolution and high speed as compared with conventional DUV and EB inspection systems. The new optics on the novel PEM comprises an exposure and an imaging electron beam optics (EOs). The optics is based on the new design concept to meet the required progress for 1Xnm EUV mask inspection as compared to the current inspection system for 2Xnm node; The concept employs new techniques to achieve the features: high energetic electron imaging optics to have low aberration, high transmittance efficiency, e.g., on the ratio of exposure current/emitted current, in the exposure and the imaging optics, respectively. The new handling and imaging system are also based on the design concept of imaging in high resolution by combination operation among the new optics on the novel PEM, the stage, and the detector. In this paper, we describe the basic performance evaluation as concerning these features and the operation: 1) MTF inclination in hp44~100nm L/S pattern of the developed imaging optics. 2) Secondary electron imaging by the integrated optics, i.e., both of the exposure and the imaging EOs, on the novel PEM, 3) Secondary electron image acquisition operation in still mode on the new inspection system assembled with the new optics on the novel PEM, the high precision stage, the detector, and so. on.. The results show the new optics on the novel PEM is capable to meet the required progress for 1Xnm EUV mask inspection and the new inspection system with the novel PEM operates in much feasibility in the electron image acquisition.


Japanese Journal of Applied Physics | 2005

Feasibility Study of Multiple-Beam Scanning Electron Microscopy for Defect Inspection

Mamoru Nakasuji; Shoji Yoshikawa; Tohru Satake; Nobuharu Noji

Multiple beams are formed around an optical axis and scanned on a specimen. Secondary electrons (SEs) emitted from the scanned points are accelerated by an electrostatic objective lens and deflected by an E cross B (E×B) beam separator to a secondary-electron detector. By scanning over an 80 nm line & space pattern with four beams, Scanning Electron Microscopy (SEM) images are obtained. From these SEM images it is seen that there is no problem with cross-talk from the neighboring beams in multiple-beam SEM where there are plural detectors. The emittance and brightness of the electron gun with a LaB6 spherical cathode are measured to be 163 mrad µm and 1.5×105 A/cm2sr, respectively, for an emission current of 450 µA and a beam energy of 4.5 keV. A simulation is performed for a combination lens, with a lens, the magnetic gap of which is formed at the specimen side, and an electrostatic lens with an electrode to which a positive high voltage is applied. Based on these electron gun characteristics and simulated aberration characteristics, 8-columns by 8-rows multiple beams with a 160 nA total beam current and a 50 nm resolution are expected. A defect inspection with equivalent pixel frequencies of 4 GHz is expected. This pixel frequency is 10 times larger than that of a commercial available system, and then the multiple-beam SEM is a most promising system for a next-generation inspection.


Journal of Micro-nanolithography Mems and Moems | 2015

Extreme ultraviolet lithography patterned mask defect detection performance evaluation toward 16- to 11-nm half-pitch generation

Ryoichi Hirano; Susumu Iida; Tsuyoshi Amano; Hidehiro Watanabe; Masahiro Hatakeyama; Takeshi Murakami; Shoji Yoshikawa; Kenji Terao

Abstract. High-sensitivity and low-noise extreme ultraviolet (EUV) mask pattern defect detection is one of the major issues remaining to be addressed in device fabrication using extreme ultraviolet lithography (EUVL). We have designed a projection electron microscopy (PEM) system, which has proven to be quite promising for half-pitch (hp) 16-nm node to hp 11-nm node mask inspection. The PEM system was integrated into a pattern inspection system for defect detection sensitivity evaluation. To improve the performance of hp 16-nm patterned mask defect detection toward hp 11-nm EUVL patterned mask, defect detection signal characteristics, which depend on hp 64-nm pattern image intensity deviation on EUVL mask, were studied. Image adjustment effect of the captured images for die-to-die defect detection was evaluated before the start of the defect detection image-processing sequence. Image correction of intrafield intensity unevenness and L/S pattern image contrast deviation suppresses the generation of false defects. Captured images of extrusion and intrusion defects in hp 64-nm L/S patterns were used for detection. Applying the image correction for defect detection, 12-nm sized intrusion defect, which was smaller than our target size for hp 16-nm defect detection requirements, was identified without false defects.


Photomask Technology 2014 | 2014

Recent results from EUVL patterned mask inspection using projection electron microscope system

Ryoichi Hirano; Susumu Iida; Tsuyoshi Amano; Tsuneo Terasawa; Hidehiro Watanabe; Masahiro Hatakeyama; Takeshi Murakami; Shoji Yoshikawa; Kenji Terao

The recent status of a newly developed PEM pattern inspection system for hp 16 nm node defect detection is presented. A die-to-die defect detection sensitivity of the developing system is also investigated. A programmed defect mask was used for demonstrating the performance of the system. Defect images were obtained as difference images by comparing the PEM images “withdefects” to the PEM images “without-defects”. This image-processing system was also developed for die-to-die inspection. Captured images of extrusion and intrusion defects in hp 64 nm L/S pattern were used for detection. 12 nm sized intrusion defect, that was smaller than our target size for hp 16 nm defect detection requirement, was identified without false defects. To improve the performance of hp 16 nm patterned mask inspection for hp 11 nm EUVL patterned mask inspection, defect detection signal characteristics, which depend on hp 64 nm pattern image intensity deviation on EUVL mask, was studied.


Journal of Micro-nanolithography Mems and Moems | 2016

Study of extreme ultraviolet lithography patterned mask inspection tool for half-pitch 11-nm node defect detection performance

Ryoichi Hirano; Susumu Iida; Tsuyoshi Amano; Hidehiro Watanabe; Masahiro Hatakeyama; Takeshi Murakami; Shoji Yoshikawa; Kenichi Suematsu; Kenji Terao

Abstract. Extreme ultraviolet lithography (EUVL) patterned mask defect detection is one of the major issues to overcome for realization of EUVL-based device fabrication. We have designed projection electron microscope (PEM) optics that have been integrated into a new inspection system called EBEYE-V30 (“Model EBEYE” is EBARA’s model code), and the PEM system performs well in half-pitch (hp) 16-nm node EUVL patterned mask inspection applications. We also discuss the extendibility of this system to 11-nm node defect detection. The progress made in the performance of the PEM optics is not simply about producing an image sensor with higher resolution but is also about improvement of the image processing to enhance the defect signal. A high-speed image sensor, a high-speed image-processing circuit, and a bright and stable electron source are necessary for hp 11-nm defect inspection. We describe the experimental results for EUVL patterned mask inspection using the above system for the hp 11-nm node. Programmed hp 11-nm defects (equivalent to 44 nm on the mask) are used for defect detection sensitivity evaluation. Defects as small as 16 nm on the mask could be detected using the current PEM system configuration.


Proceedings of SPIE | 2015

Study of EUVL patterned mask inspection tool for half-pitch (hp) 16nm-11nm node

Ryoichi Hirano; Susumu Iida; Tsuyoshi Amano; Hidehiro Watanabe; Masahiro Hatakeyama; Takeshi Murakami; Shoji Yoshikawa; Kenichi Karimata; Kenji Terao

EUVL patterned mask defect detection is one of the major issues to realize device fabrication with EUV lithography.1-6 We have already designed a novel Projection Electron Microscope (PEM) optics that has been integrated into a new inspection system named EBEYE-V30 (“Model EBEYE” is an EBARA’s model code), and which seems to be quite promising for hp 16 nm node EUVL patterned mask inspection. The PEM system performs well for hp 16 nm EUVL patterned mask inspection. Moreover, we discuss the system extendibility to 11 nm node defect detection. High-speed image sensor, high-speed image processing circuit, and bright/stable electron source are necessary for hp 11 nm defect inspection. We describe the experimental results of the EUVL patterned mask inspection using the abovementioned system for hp 11 nm node. Programmed defects in hp 11 nm (44 nm on mask) are applied for defect detection sensitivity evaluation.


Photomask Japan 2015: Photomask and Next-Generation Lithography Mask Technology XXII | 2015

Patterned mask inspection technology with Projection Electron Microscope (PEM) technique for 11 nm half-pitch (hp) generation EUV masks

Ryoichi Hirano; Susumu Iida; Tsuyoshi Amano; Hidehiro Watanabe; Masahiro Hatakeyama; Takeshi Murakami; Shoji Yoshikawa; Kenichi Suematsu; Kenji Terao

High-sensitivity EUV mask pattern defect detection is one of the major issues in order to realize the device fabrication by using the EUV lithography. We have already designed a novel Projection Electron Microscope (PEM) optics that has been integrated into a new inspection system named EBEYE-V30 (“Model EBEYE” is an EBARA’s model code), and which seems to be quite promising for 16 nm hp generation EUVL Patterned mask Inspection (PI). Defect inspection sensitivity was evaluated by capturing an electron image generated at the mask by focusing onto an image sensor. The progress of the novel PEM optics performance is not only about making an image sensor with higher resolution but also about doing a better image processing to enhance the defect signal. In this paper, we describe the experimental results of EUV patterned mask inspection using the above-mentioned system. The performance of the system is measured in terms of defect detectability for 11 nm hp generation EUV mask. To improve the inspection throughput for 11 nm hp generation defect detection, it would require a data processing rate of greater than 1.5 Giga- Pixel-Per-Second (GPPS) that would realize less than eight hours of inspection time including the step-and-scan motion associated with the process. The aims of the development program are to attain a higher throughput, and enhance the defect detection sensitivity by using an adequate pixel size with sophisticated image processing resulting in a higher processing rate.


Archive | 2004

Testing apparatus using charged particles and device manufacturing method using the testing apparatus

Nobuharu Noji; Tohru Satake; Hirosi Sobukawa; Toshifumi Kimba; Masahiro Hatakeyama; Shoji Yoshikawa; Takeshi Murakami; Kenji Watanabe; Tsutomu Karimata; Kenichi Suematsu; Yutaka Tabe; Ryo Tajima; Keiichi Tohyama


Archive | 2001

Inspection system by charged particle beam and method of manufacturing devices using the system

Mamoru Nakasuji; Nobuharu Noji; Tohru Satake; Masahiro Hatakeyama; Toshifumi Kimba; Hiroshi Sobukawa; Shoji Yoshikawa; Takeshi Murakami; Kenji Watanabe; Tsutomu Karimata; Shin Oowada; Mutsumi Saito; Yuichiro Yamazaki; Takamitsu Nagai; Ichirota Nagahama

Collaboration


Dive into the Shoji Yoshikawa's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge