Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Shuaigang Xiao is active.

Publication


Featured researches published by Shuaigang Xiao.


ACS Nano | 2009

Directed Block Copolymer Assembly versus Electron Beam Lithography for Bit-Patterned Media with Areal Density of 1 Terabit/inch2 and Beyond

XiaoMin Yang; Lei Wan; Shuaigang Xiao; Yuan Xu; Dieter K. Weller

The directed self-assembly of block copolymer (BCP) offers a new route to perfect nanolithographic patterning at sub-50 nm length scale with molecular scale precision. We have explored the feasibility of using the BCP approach versus the conventional electron beam (e-beam) lithography to create highly dense dot patterns for bit-patterned media (BPM) applications. Cylinder-forming poly(styrene-b-methyl methacrylate) (PS-b-PMMA) directly self-assembled on a chemically prepatterned substrate. The nearly perfect hexagonal arrays of perpendicularly oriented cylindrical pores at a density of approximately 1 Terabit per square inch (Tb/in.(2)) are achieved over an arbitrarily large area. Considerable gains in the BCP process are observed relative to the conventional e-beam lithography in terms of the dot size variation, the placement accuracy, the pattern uniformity, and the exposure latitude. The maximum dimensional latitude in the cylinder-forming BCP patterns and the maximum skew angle that the BCP can tolerate have been investigated for the first time. The dimensional latitude restricts the formation of more than one lattice configuration in certain ranges. More defects in BCP patterns are observed when using low molecular weight BCP materials or on non-hexagonal prepatterns due to the dimensional latitude restriction. Finally, the limitations and challenges in the BCP approach that are associated with BPM applications will be briefly discussed.


Journal of Vacuum Science & Technology B | 2007

Challenges in 1 Teradot∕in.2 dot patterning using electron beam lithography for bit-patterned media

XiaoMin Yang; Shuaigang Xiao; Wei Wu; Yuan Xu; Keith Mountfield; Robert Earl Rottmayer; Kim Y. Lee; David M.-T. Kuo; D. Weller

Electron beam lithography presents a great opportunity for bit-patterned media (BPM) applications due to its resolution capability and placement accuracy. However, there are still many challenges associated with this application including tool availability, resist capability, process development, and associated metrology needs. This paper will briefly discuss these challenges and show the results of sub-25 nm pitch (1 Tdots∕in.2) patterning from both a simulation and experimental perspective. The simulation results indicate that the energy contrast between the exposed and unexposed areas goes down quickly as the pitch size gets smaller and smaller, making it more difficult for image formation of high-resolution dot patterning. The strategy to overcome this issue is to optimize the development process, which aims at increasing the resist contrast and enlarging the process window. By using this approach, the authors have successfully demonstrated a pitch resolution down to 18 nm for a positive-tone resist Z...


Journal of Vacuum Science & Technology B | 2008

Toward 1Tdot∕in.2 nanoimprint lithography for magnetic bit-patterned media: Opportunities and challenges

XiaoMin Yang; Yuan Xu; Carl Seiler; Lei Wan; Shuaigang Xiao

Nanoimprint lithography presents unique opportunities for patterned media applications due to its advantages of sub-10nm resolution capability, patterning of a whole disk in a single imprint step with reasonably high throughput, and the relatively low capital cost in comparison to other next generation lithography technologies. However, there are several critical issues that still remain very challenging. This article will briefly discuss these challenges in general and then focus on imprint lithography work including the fabrication of templates and demonstrate the imprinted results. In this work two types of polarities of high-density templates (pillar tone and hole tone) have been fabricated on fused silica substrates for the UV imprint process. The difficulties and limitations in each of the template fabrication processes will be discussed. The authors have successfully demonstrated template fabrication followed by imprinted results with a pitch of 24nm (1.1Tdots∕in.2) for both tones of templates. Initial imprinted results of dense dot patterns with a pitch as small as 18nm (2.0Tdots∕in.2) have been achieved. High-resolution scanning electron microscopy images are used as the primary metrology for both the dot size uniformity and the placement accuracy analysis. The difficulties and the limitations in template fabrication, the imprint process, and associated metrology will be discussed.Nanoimprint lithography presents unique opportunities for patterned media applications due to its advantages of sub-10nm resolution capability, patterning of a whole disk in a single imprint step with reasonably high throughput, and the relatively low capital cost in comparison to other next generation lithography technologies. However, there are several critical issues that still remain very challenging. This article will briefly discuss these challenges in general and then focus on imprint lithography work including the fabrication of templates and demonstrate the imprinted results. In this work two types of polarities of high-density templates (pillar tone and hole tone) have been fabricated on fused silica substrates for the UV imprint process. The difficulties and limitations in each of the template fabrication processes will be discussed. The authors have successfully demonstrated template fabrication followed by imprinted results with a pitch of 24nm (1.1Tdots∕in.2) for both tones of templates. Ini...


Advanced Materials | 2011

Fabrication of Silicon Oxide Nanodots with an Areal Density Beyond 1 Teradots Inch−2

Ji Xu; Sung Woo Hong; Weiyin Gu; Kim Y. Lee; David S. Kuo; Shuaigang Xiao; Thomas P. Russell

The combination of solvent annealing, surface reconstruction, and a tone-reversal etching procedure provides an attractive approach to utilize block copolymer (BCP) lithography to fabricate highly ordered and densely packed silicon oxide nano-dots on a surface. The obtained silicon oxide nano-dots feature an areal density of 1.3 teradots inch(-2) .


ACS Nano | 2011

Circular Nanopatterns over Large Areas from the Self-Assembly of Block Copolymers Guided by Shallow Trenches

Sung Woo Hong; Xiaodan Gu; June Huh; Shuaigang Xiao; Thomas P. Russell

We report the fabrication of ultradense circular nanolines of block copolymer (BCP) microdomains over macroscopic areas. These lines were generated by the directed self-assembly (DSA) of BCPs on the topographically patterned substrates, where the trenches with circular shape are patterned on a flat substrate. The width of the trench and the distance between trenches are varied for commensurability issues, and difference BCPs are used to demonstrate the generality of this strategy. When a commensurability condition is satisfied, BCPs on the topographically patterned substrates undergo a DSA with solvent annealing, resulting in a flat film with an areal density amplification of the circular patterns over large areas. The methodology described here may provide an easy approach to high densities of circularly shaped nanopatterns for data storage device manufacturing.


Nanotechnology | 2011

Aligned nanowires and nanodots by directed block copolymer assembly.

Shuaigang Xiao; XiaoMin Yang; Kim Y. Lee; Rene J M ver der Veerdonk; David S. Kuo; Thomas P. Russell

The directed self-assembly of block copolymers (BCPs) is a promising route to generate highly ordered arrays of sub-10 nm features. Ultradense arrays of a monolayer of spherical microdomains or cylindrical microdomains oriented parallel to the surface have been produced where the lateral ordering is guided by surface patterning and the lattice defined by the patterning can be commensurate or incommensurate with the natural period of the BCP. Commensurability between the two can be used to elegantly manipulate the lateral ordering and orientation of the BCP microdomains so as to form well-aligned arrays of 1D nanowires or 2D addressable nanodots. No modification of the substrate surface, aside from the patterning, was used, making the influence of lattice mismatch and pattern amplification on the size, shape and pitch of the BCP microdomains more transparent. A skew angle between incommensurate lattices, defining a stretching or compression of the BCP chains to compensate for the lattice mismatch, is presented.


Advanced Materials | 2015

Directed Self‐Assembly of Poly(2‐vinylpyridine)‐b‐polystyrene‐b‐poly(2‐vinylpyridine) Triblock Copolymer with Sub‐15 nm Spacing Line Patterns Using a Nanoimprinted Photoresist Template

Zhiwei Sun; Zhenbin Chen; Wenxu Zhang; Jaewon Choi; Caili Huang; Gajin Jeong; E. Bryan Coughlin; Yautzong Hsu; Xiaomin Yang; Kim Y. Lee; David S. Kuo; Shuaigang Xiao; Thomas P. Russell

Low molecular weight P2VP-b-PS-b-P2VP triblock copolymer (poly(2-vinlypyridine)-block-polystyrene-block-poly(2-vinylpyridine)] is doped with copper chloride and microphase separated into lamellar line patterns with ultrahigh area density. Salt-doped P2VP-b-PS-b-P2VP triblock copolymer is self-assembled on the top of the nanoimprinted photoresist template, and metallic nanowires with long-range ordering are prepared with platinum-salt infiltration and plasma etching.


IEEE Transactions on Magnetics | 2009

Advanced Lithography for Bit Patterned Media

XiaoMin Yang; Yuan Xu; Kim Y. Lee; Shuaigang Xiao; David S. Kuo; D. Weller

For bit patterned media (BPM) applications, while significant progress has recently been made in demonstrating high-resolution dot patterning using e-beam direct writing, and followed by the successful imprint process, many serious issues in fabrication still remain. This paper will only discuss the key challenges in the BPM lithography, including (1) the commercial availability of a high-resolution rotating stage e-beam system; (2) the approach and limitation of directed polymer self-assembly for resist pattern quality improvement and resolution enhancement; (3) the difficulties and limitations in the fabrication and replication of a 1 times template with a density beyond 1 Tbit per square inch (Tb/in2), while the defectivity, lifetime, and damage of the template are still questionable; (4) the tight requirements of size uniformity and placement accuracy; and (5) the needs of advanced metrology associated with the very small dot size and defect characterization. In this paper, we will address the above challenges and present some experimental data along with possible solutions for the challenges.


Advanced Materials | 2013

Solvent‐Assisted Directed Self‐Assembly of Spherical Microdomain Block Copolymers to High Areal Density Arrays

Weiyin Gu; Ji Xu; Jung-Keun Kim; Sung Woo Hong; Xinyu Wei; Xiaomin Yang; Kim Y. Lee; David S. Kuo; Shuaigang Xiao; Thomas P. Russell

The fabrication process for 5 Tb/in(2) bit patterns using solvent-assisted directed self-assembly is investigated. The N-methyl-2-pyrrolidone solvent vapor-annealing method was used to achieve good long-range lateral ordering of low-molecular-weight polystyrene-block-polydimethylsiloxane with a lattice spacing of 11 nm on flat Si substrates, PS modified substrates and lithographically patterned substrates, respectively.


Nanotechnology | 2014

Integration of nanoimprint lithography with block copolymer directed self-assembly for fabrication of a sub-20 nm template for bit-patterned media

XiaoMin Yang; Shuaigang Xiao; Wei Hu; Justin Hwu; Rene Johannes Marinus van de Veerdonk; Koichi Wago; Kim Y. Lee; David M.-T. Kuo

We propose a novel strategy to integrate the nanoimprint lithography (NIL) technique with directed self-assembly (DSA) of block copolymer (BCP) for providing a robust, high-yield, and low-defect-density path to sub-20 nm dense patterning. Through this new NIL-DSA method, UV nanoimprint resist is used as the DSA copolymer pre-pattern to expedite the DSA process. This method was successfully used to fabricate a 1.0 Td in(-2) servo-integrated nanoimprint template for bit-patterned media (BPM) application. The fabricated template was used for UV-cure NIL on a 2.5-inch disk. The imprint resist patterns were further transferred into the underlying CoCrPt magnetic layer through a carbon hard mask using ion beam etching. The successful integration of the NIL technique with the DSA process provides us with a new route to BPM nanofabrication, which includes the following three major advantages: (1) a simpler and faster way to implement DSA for high-density BPM patterning; (2) a novel method for fabricating a high-quality dot pattern template through an iterative imprint-DSA-template procedure; and (3) an uncomplicated integration scheme for implementing non-periodic servo features with BCP patterns, thus accelerating the transition of moving the DSA technique from laboratory research to the BPM manufacturing environment.

Collaboration


Dive into the Shuaigang Xiao's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Thomas P. Russell

University of Massachusetts Amherst

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge