Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Stefan Tinck is active.

Publication


Featured researches published by Stefan Tinck.


Journal of Physics D | 2009

Investigation of etching and deposition processes of Cl2/O2/Ar inductively coupled plasmas on silicon by means of plasma–surface simulations and experiments

Stefan Tinck; Werner Boullart; Annemie Bogaerts

In this paper, a simulation method is described to predict the etching behaviour of Cl2/O2/Ar inductively coupled plasmas on a Si substrate, as used in shallow trench isolation for the production of electronic devices. The hybrid plasma equipment model (HPEM) developed by Kushner et al is applied to calculate the plasma characteristics in the reactor chamber and two additional Monte Carlo simulations are performed to predict the fluxes, angles and energy of the plasma species bombarding the Si substrate, as well as the resulting surface processes such as etching and deposition. The simulations are performed for a wide variety of operating conditions such as gas composition, chamber pressure, power deposition and substrate bias. It is predicted by the simulations that when the fraction of oxygen in the gas mixture is too high, the oxidation of the Si substrate is superior to the etching of Si by chlorine species, resulting in an etch rate close to zero as is also observed in the experiments.


Journal of Applied Physics | 2010

Molecular dynamics simulations of Cl+ etching on a Si(100) surface

F. J. Gou; Erik C. Neyts; Maxie Eckert; Stefan Tinck; Annemie Bogaerts

Molecular dynamics simulations using improved Tersoff–Brenner potential parameters were performed to investigate Cl+ etching of a {2×1} reconstructed Si(100) surface. Steady-state Si etching accompanying the Cl coverage of the surface is observed. Furthermore, a steady-state chlorinated reaction layer is formed. The thickness of this reaction layer is found to increase with increasing energy. The stoichiometry of SiClx species in the reaction layer is found to be SiCl:SiCl2:SiCl3=1.0:0.14:0.008 at 50 eV. These results are in excellent agreement with available experimental data. While elemental Si products are created by physical sputtering, most SiClx (0<x<4) etch products are produced by chemical-enhanced physical sputtering.


Plasma Sources Science and Technology | 2011

Computer simulations of an oxygen inductively coupled plasma used for plasma-assisted atomic layer deposition

Stefan Tinck; Annemie Bogaerts

In this paper, an O2 inductively coupled plasma used for plasma enhanced atomic layer deposition of Al2O3 thin films is investigated by means of modeling. This work intends to provide more information about basic plasma properties such as species densities and species fluxes to the substrate as a function of power and pressure, which might be hard to measure experimentally. For this purpose, a hybrid model developed by Kushner et al is applied to calculate the plasma characteristics in the reactor volume for different chamber pressures ranging from 1 to 10 mTorr and different coil powers ranging from 50 to 500 W. Density profiles of the various oxygen containing plasma species are reported as well as fluxes to the substrate under various operating conditions. Furthermore, different orientations of the substrate, which can be placed vertically or horizontally in the reactor, are taken into account. In addition, special attention is paid to the recombination process of atomic oxygen on the different reactor walls under the stated operating conditions. From this work it can be concluded that the plasma properties change significantly in different locations of the reactor. The plasma density near the cylindrical coil is high, while it is almost negligible in the neighborhood of the substrate. Ion and excited species fluxes to the substrate are found to be very low and negligible. Finally, the orientation of the substrate has a minor effect on the flux of O2, while it has a significant effect on the flux of O. In the horizontal configuration, the flux of atomic oxygen can be up to one order of magnitude lower than in the vertical configuration.


Plasma Sources Science and Technology | 2013

Etching of low-k materials for microelectronics applications by means of a N2/H2 plasma: modeling and experimental investigation

K Van Laer; Stefan Tinck; Vladimir Samara; J.-F. de Marneffe; Annemie Bogaerts

In this paper, we investigate the etch process of so-called low-k organic material by means of a N2/H2 capacitively coupled plasma, as applied in the micro-electronics industry for the manufacturing of computer chips. In recent years, such an organic material has emerged as a possible alternative for replacing bulk SiO2 as a dielectric material in the back-end-of-line, because of the smaller parasitic capacity between adjacent conducting lines, and thus a faster propagation of the electrical signals throughout the chip. Numerical simulations with a hybrid plasma model, using an extensive plasma and surface chemistry set, as well as experiments are performed, focusing on the plasma properties as well as the actual etching process, to obtain a better insight into the underlying mechanisms. Furthermore, the effects of gas pressure, applied power and gas composition are investigated to try to optimize the etch process. In general, the plasma density reaches a maximum near the wafer edge due to the so-called ?edge effect?. As a result, the etch rate is not uniform but will also reach its maximum near the wafer edge. The pressure seems not to have a big effect. A higher power increases the etch rate, but the uniformity becomes (slightly) worse. The gas mixing ratio has no significant effect on the etch process, except when a pure H2 or N2 plasma is used, illustrating the synergistic effects of a N2/H2 plasma. In fact, our calculations reveal that the N2/H2 plasma entails an ion-enhanced etch process. The simulation results are in reasonable agreement with the experimental values. The microscopic etch profile shows the desired anisotropic shape under all conditions under study.


Journal of Physics D | 2015

Numerical investigation of HBr/He transformer coupled plasmas used for silicon etching

Banat Gul; Stefan Tinck; Peter De Schepper; Aman-ur Rehman; Annemie Bogaerts

A two-dimensional hybrid Monte Carlo—fluid model is applied to study HBr/He inductively coupled plasmas used for etching of Si. Complete sets of gas-phase and surface reactions are presented and the effects of the gas mixing ratio on the plasma characteristics and on the etch rates are discussed. A comparison with experimentally measured etch rates is made to validate the modelling results. The etch rate in the HBr plasma is found to be quite low under the investigated conditions compared to typical etch rates of Si with F- or Cl-containing gases. This allows for a higher control and fine-tuning of the etch rate when creating ultra-small features. Our calculations predict a higher electron temperature at higher He fraction, because the electrons do not lose their energy so efficiently in vibrational and rotational excitations. As a consequence, electron impact ionization and dissociation become more important, yielding higher densities of ions, electrons and H atoms. This results in more pronounced sputtering of the surface. Nevertheless, the overall etch rate decreases upon increasing He fraction, suggesting that chemical etching is still the determining factor for the overall etch rate.


Pure and Applied Chemistry | 2010

Modeling of the plasma chemistry and plasma–surface interactions in reactive plasmas

Annemie Bogaerts; Christophe De Bie; Maxie Eckert; Violeta Georgieva; T Martens; Erik C. Neyts; Stefan Tinck

In this paper, an overview is given of modeling activities going on in our research group, for describing the plasma chemistry and plasma–surface interactions in reactive plasmas. The plasma chemistry is calculated by a fluid approach or by hybrid Monte Carlo (MC)–fluid modeling. An example of both is illustrated in the first part of the paper. The example of fluid modeling is given for a dielectric barrier discharge (DBD) in CH4/O2, to describe the partial oxidation of CH4 into value-added chemicals. The example of hybrid MC–fluid modeling concerns an inductively coupled plasma (ICP) etch reactor in Ar/Cl2/O2, including also the description of the etch process. The second part of the paper deals with the treatment of plasma–surface interactions on the atomic level, with molecular dynamics (MD) simulations or a combination of MD and MC simulations.


Journal of Physics D | 2015

Cryogenic etching of silicon with SF6 inductively coupled plasmas: a combined modelling and experimental study

Stefan Tinck; Thomas Tillocher; Remi Dussart; Annemie Bogaerts

A hybrid Monte Carlo—fluid model is applied to simulate the wafer-temperature-dependent etching of silicon with SF6 inductively coupled plasmas (ICP). The bulk plasma within the ICP reactor volume as well as the surface reactions occurring at the wafer are self-consistently described. The calculated etch rates are validated by experiments. The calculations and experiments are performed at two different wafer temperatures, i.e. 300 and 173 K, resembling conventional etching and cryoetching, respectively. In the case of cryoetching, a physisorbed SFx layer (x = 0–6) is formed on the wafer, which is negligible at room temperature, because of fast thermal desorption, However, even in the case of cryoetching, this layer can easily be disintegrated by low-energy ions, so it does not affect the etch rates. In the investigated pressure range of 1–9 Pa, the etch rate is always slightly higher at cryogenic conditions, both in the experiments and in the model, and this could be explained in the model due to a local cooling of the gas above the wafer, making the gas denser and increasing the flux of reactive neutrals, like F and F2, towards the wafer.


Journal of Physics D | 2016

Computational study of the CF4 /CHF3 / H2 /Cl2 /O2 /HBr gas phase plasma chemistry

Stefan Tinck; Annemie Bogaerts

A modelling study is performed of high-density low-pressure inductively coupled CF4/CHF3/H2/Cl2/O2/HBr plasmas under different gas mixing ratios. A reaction set describing the complete plasma chemistry is presented and discussed. The gas fraction of each component in this mixture is varied to investigate the sensitivity of the plasma properties, like electron density, plasma potential and species densities, towards the gas mixing ratios. This research is of great interest for microelectronics applications because these gases are often combined in two (or more)-component mixtures, and mixing gases or changing the fraction of a gas can sometimes yield unwanted reaction products or unexpected changes in the overall plasma properties due to the increased chemical complexity of the system. Increasing the CF4 fraction produces more F atoms for chemical etching as expected, but also more prominently lowers the density of Cl atoms, resulting in an actual drop in the etch rate under certain conditions. Furthermore, CF4 decreases the free electron density when mixed with Cl2. However, depending on the other gas components, CF4 gas can also sometimes enhance free electron density. This is the case when HBr is added to the mixture. The addition of H2 to the gas mixture will lower the sputtering process, not only due to the lower overall positive ion density at higher H2 fractions, but also because more H+, and are present and they have very low sputter yields. In contrast, a larger Cl2 fraction results in more chemical etching but also in less physical sputtering due to a smaller abundance of positive ions. Increasing the O2 fraction in the plasma will always lower the etch rate due to more oxidation of the wafer surface and due to a lower plasma density. However, it is also observed that the density of F atoms can actually increase with rising O2 gas fraction. This is relevant to note because the exact balance between fluorination and oxidation is important for fine-tuning the overall etch rate and for control of the sidewall profile. Finally, HBr is often used as a chemical etcher, but when mixed with F- or Cl-containing gases, HBr creates the same diluting effects as Ar or He, because a higher fraction results in less chemical etching but more (physical) sputtering.


Journal of Vacuum Science and Technology | 2015

Modeling and experimental investigation of the plasma uniformity in CF4/O2 capacitively coupled plasmas, operating in single frequency and dual frequency regime

Yu-Ru Zhang; Stefan Tinck; Peter De Schepper; You-Nian Wang; Annemie Bogaerts

A two-dimensional hybrid Monte Carlo–fluid model, incorporating a full-wave solution of Maxwells equations, is employed to describe the behavior of high frequency (HF) and very high frequency capacitively coupled plasmas (CCPs), operating both at single frequency (SF) and dual frequency (DF) in a CF4/O2 gas mixture. First, the authors investigate the plasma composition, and the simulations reveal that besides CF4 and O2, also COF2, CF3, and CO2 are important neutral species, and CF3+ and F− are the most important positive and negative ions. Second, by comparing the results of the model with and without taking into account the electromagnetic effects for a SF CCP, it is clear that the electromagnetic effects are important, both at 27 and 60 MHz, because they affect the absolute values of the calculation results and also (to some extent) the spatial profiles, which accordingly affects the uniformity in plasma processing. In order to improve the plasma radial uniformity, which is important for the etch proc...


Japanese Journal of Applied Physics | 2017

Progress and prospects in nanoscale dry processes: How can we control atomic layer reactions?

Kenji Ishikawa; Kazuhiro Karahashi; Takanori Ichiki; Jane P. Chang; Steven M. George; W. M. M. Kessels; Hae June Lee; Stefan Tinck; Jung Hwan Um; Keizo Kinoshita

In this review, we discuss the progress of emerging dry processes for nanoscale fabrication. Experts in the fields of plasma processing have contributed to addressing the increasingly challenging demands in achieving atomic-level control of material selectivity and physicochemical reactions involving ion bombardment. The discussion encompasses major challenges shared across the plasma science and technology community. Focus is placed on advances in the development of fabrication technologies for emerging materials, especially metallic and intermetallic compounds and multiferroic, and two-dimensional (2D) materials, as well as state-of-the-art techniques used in nanoscale semiconductor manufacturing with a brief summary of future challenges.

Collaboration


Dive into the Stefan Tinck's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge