Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Peter De Schepper is active.

Publication


Featured researches published by Peter De Schepper.


Proceedings of SPIE | 2015

Integrated fab process for metal oxide EUV photoresist

Andrew Grenville; Jeremy T. Anderson; Benjamin L. Clark; Peter De Schepper; Joseph Edson; Michael Greer; Kai Jiang; Michael Kocsis; Stephen T. Meyers; Jason K. Stowers; Alan J. Telecky; Danilo De Simone; Geert Vandenberghe

Inpria is developing directly patternable, metal oxide hardmasks as robust, high-resolution photoresists for EUV lithography. Targeted formulations have achieved 13nm half-pitch at 35 mJ/cm2 on an ASML’s NXE:3300B scanner. Inpria’s second-generation materials have an absorbance of 20/μm, thereby enabling an equivalent photon shot noise compared to conventional resists at a dose lower by a factor of 4X. These photoresists have ~40:1 etch selectivity into a typical carbon underlayer, so ultrathin 20nm films are possible, mitigating pattern collapse. In addition to lithographic performance, we review progress in parallel advances required to enable the transition from lab to fab for such a metal oxide photoresist. This includes considerations and data related to: solvent compatibility, metals cross-contamination, coat uniformity, stability, outgassing, and rework.


Proceedings of SPIE | 2014

Molecular glass resists for scanning probe lithography

Christian Neuber; Andreas Ringk; Tristan Kolb; Florian Wieberger; Peter Strohriegl; Hans-Werner Schmidt; Vincent Fokkema; Mike Cooke; Colin Rawlings; U. Dürig; Armin W. Knoll; Jean-Francois de Marneffe; Peter De Schepper; Marcus Kaestner; Matthias Budden; Ivo W. Rangelow

The presented work deals with molecular glass resist materials based on (i) calix[4]resorcinarene resist systems, (ii) twisted fully aromatic biscarbazole-biphenyl materials, and (iii) fully aromatic spiro resist materials as new promising materials for Scanning Probe Lithography (SPL). Because of the non-chemically amplified resist nature and the absence of corresponding material diffusion, the novel SPL resists have the potential to increase the patterning resolution capabilities at a simultaneous reduction of the edge roughness (LER). In addition, these low molecular weight molecular glasses offer the advantage of solvent-free film preparation by physical vapor deposition (PVD). The PVD prepared films offer a number of advantages compared to spin coated ones such as no more pinholes, defects, or residual solvent domains, which can locally affect the film properties. These high-quality PVD films are ideal candidates for the direct patterning by SPL tools. Presented highlights are the thermal scanning probe lithography (tSPL) investigations at IBM Research - Zurich and the patterning by using electric field, current controlled scanning probe lithography (EF-CC-SPL) at the Technical University of Ilmenau. Further investigations on film forming behavior, etch resistance, and etch transfer are presented. Owing to the high-resolution probe based patterning capability in combination with their improved etch selectivity compared to reference polymeric resists the presented molecular glass resists are highly promising candidates for lithography at the single nanometer digit level.


Journal of Physics D | 2012

Study of ultrasound-assisted radio-frequency plasma discharges in n-dodecane

Elisabeth Camerotto; Peter De Schepper; Anton Nikiforov; Steven Brems; D. Shamiryan; Werner Boullart; Christophe Leys; Stefan De Gendt

This paper investigates the generation of a stable plasma phase in a liquid hydrocarbon (n-dodecane) by means of ultrasound (US) and radio-frequency (RF) or electromagnetic radiation. It is demonstrated for the first time that ultrasonic aided RF plasma discharges can be generated in a liquid. Plasma discharges are obtained for different gas mixtures at a pressure of 12?kPa and at low ignition powers (100?W for RF and 2.4?W?cm?2 for US). Direct carbon deposition from the liquid precursor on Cu, Ni, SiO2 and Si substrates has been obtained and no apparent compositional or structural difference among the substrate materials was observed. Characterization of the deposited solid phase revealed an amorphous structure. In addition, structural changes in the liquid precursor after plasma treatment have been analysed. Optical emission spectroscopy (OES) allowed the estimation of several plasma characteristic temperatures. The plasma excitation temperature was estimated to be about 2.3?2.4?eV. The rotational and vibrational temperatures of the discharge in n-dodecane with Ar as a feed gas were 1400?K and 6500?K, respectively. In Ar/O2 plasma, an increased rotational (1630?K) and vibrational temperature (7200?K) were obtained.


Journal of Micro-nanolithography Mems and Moems | 2014

Line edge and width roughness smoothing by plasma treatment

Peter De Schepper; Terje Hansen; Efrain Altamirano-Sanchez; Alessandro Vaglio Pret; Ziad El Otell; Werner Boulart; Stefan De Gendt

Abstract. Smoothing effects of postlithography plasma treatments on 22-nm lines and spaces are evaluated for two types of extreme ultraviolet photoresists, using five different plasma processes (Ar, H2/Ar, HBr, H2/N2, and H2). Experimental results indicate a reduction in linewidth roughness of about 10% by using an H2 plasma smoothing process. This smoothing process is mainly triggered by the synergy of vacuum ultraviolet photons and H2 reactive species during the plasma treatment. Moreover, the smoothing process is dependent on the resist composition and the pattern dimensions. This paper shows the impact of different plasma conditions on roughness reduction for 22-nm lines.


Proceedings of SPIE | 2016

Metal oxide EUV photoresist performance for N7 relevant patterns and processes

Jason K. Stowers; Jeremy T. Anderson; Brian Cardineau; Benjamin L. Clark; Peter De Schepper; Joseph Edson; Michael Greer; Kai Jiang; Michael Kocsis; Stephen T. Meyers; Alan J. Telecky; Andrew Grenville; Danilo De Simone; Werner Gillijns; Geert Vandenberghe

Inpria continues to leverage novel metal oxide materials to produce high resolution photoresists for EUV lithography with high optical density and etch resistance. Our resists have previously demonstrated 13nm line/space patterns at 35 mJ/cm2, with extendibility to 10nm half-pitch.1 We have continued to improve photospeed and in this work we provide an update on imaging performance. Since practical patterns for EUV layers will be more complicated than line/space patterns, we also expand on our previous work by demonstrating 2D resist performance using N7 (7nm node) contact and block mask patterns on full field scanners. A resist model has been created and using this model comparisons are made between a metal oxide resist and CAR platforms. Based on this physical model, the impact of shot noise is examined in relation to realistic 2D features. Preliminary data on the effect on OPC of using a non-chemically amplified resist are also presented.


Proceedings of SPIE | 2015

XAS photoresists electron/quantum yields study with synchrotron light

Peter De Schepper; Alessandro Vaglio Pret; Terje Hansen; Angelo Giglia; Kenji Hoshiko; Antonio Mani; John J. Biafore

The main roadblock for EUV lithography to be successfully adopted for high-volume manufacturing is the current lack of source power. One way to help mitigate this problem is to optimize the photoresist by increasing both absorbance and quantum yield. The latter represents the ratio between the sums of generated acids and absorbed photons. Yield is also thought to be limited by the number of generated electrons per absorbed photon, or electron yield, that may be generated after a photo absorption event. While absorbance is relatively easy to measure, yields are extremely difficult to quantify, and the debate on upper limits is far from settled. In this paper, we present how, using synchrotron light with tunable energy, we directly measured dispersion curves and electron yield for ArF, KrF and EUV photoresists using X-ray Absorption Spectroscopy. Knowing the electron yield allowed us to better model organic EUV materials: stochastic simulations show how both electron yield and blur are very similar for organic materials, and how the electron blur is not a fixed property of the material, but may vary spatially, depending on a combination of photoresist formulation and local photon absorption density.


Proceedings of SPIE | 2016

Demonstration of an N7 integrated fab process for metal oxide EUV photoresist

Danilo De Simone; Ming Mao; Michael Kocsis; Peter De Schepper; Frederic Lazzarino; Geert Vandenberghe; Jason K. Stowers; Stephen T. Meyers; Benjamin L. Clark; Andrew Grenville; Vinh Luong; Fumiko Yamashita; Doni Parnell

Inpria has developed a directly patternable metal oxide hard-mask as a robust, high-resolution photoresist for EUV lithography. In this paper we demonstrate the full integration of a baseline Inpria resist into an imec N7 BEOL block mask process module. We examine in detail both the lithography and etch patterning results. By leveraging the high differential etch resistance of metal oxide photoresists, we explore opportunities for process simplification and cost reduction. We review the imaging results from the imec N7 block mask patterns and its process windows as well as routes to maximize the process latitude, underlayer integration, etch transfer, cross sections, etch equipment integration from cross metal contamination standpoint and selective resist strip process. Finally, initial results from a higher sensitivity Inpria resist are also reported. A dose to size of 19 mJ/cm2 was achieved to print pillars as small as 21nm.


Journal of Vacuum Science and Technology | 2015

Modeling and experimental investigation of the plasma uniformity in CF4/O2 capacitively coupled plasmas, operating in single frequency and dual frequency regime

Yu-Ru Zhang; Stefan Tinck; Peter De Schepper; You-Nian Wang; Annemie Bogaerts

A two-dimensional hybrid Monte Carlo–fluid model, incorporating a full-wave solution of Maxwells equations, is employed to describe the behavior of high frequency (HF) and very high frequency capacitively coupled plasmas (CCPs), operating both at single frequency (SF) and dual frequency (DF) in a CF4/O2 gas mixture. First, the authors investigate the plasma composition, and the simulations reveal that besides CF4 and O2, also COF2, CF3, and CO2 are important neutral species, and CF3+ and F− are the most important positive and negative ions. Second, by comparing the results of the model with and without taking into account the electromagnetic effects for a SF CCP, it is clear that the electromagnetic effects are important, both at 27 and 60 MHz, because they affect the absolute values of the calculation results and also (to some extent) the spatial profiles, which accordingly affects the uniformity in plasma processing. In order to improve the plasma radial uniformity, which is important for the etch proc...


Proceedings of SPIE | 2017

Compact 2D OPC modeling of a metal oxide EUV resist for a 7nm node BEOL layer

Adam Lyons; David Rio; Sook Lee; Thomas Wallow; Maxence Delorme; Anita Fumar-Pici; Michael Kocsis; Peter De Schepper; Michael Greer; Jason K. Stowers; Werner Gillijns; Danilo De Simone; Joost Bekaert

Inpria has developed a directly patternable metal oxide hard-mask as a high-resolution photoresist for EUV lithography1. In this contribution, we describe a Tachyon 2D OPC full-chip model for an Inpria resist as applied to an N7 BEOL block mask application.


Extreme Ultraviolet (EUV) Lithography IX | 2018

Population statistics of EUV printed MOx resist features (Conference Presentation)

Jason K. Stowers; Peter De Schepper; Michael Greer; Craig Needham; Stephen T. Meyers; Michael Kocsis; Andrew Grenville

The viability of EUV lithography depends upon the accurate placement of hundreds of billions of features per field with critical dimensions less than 30 nm using a minimal photon count. In this photon-limited regime, resist absorbance, radiochemical blur, and nanoscale homogeneity have important impacts on stochastic variability and device yield not captured by standard resist characterization in terms of resolution, line-edge roughness and sensitivity (RLS). Multiple studies have shown that low-probability printing failures critical to device yield are not accurately modeled by 7σ extrapolations from the small populations of features commonly analyzed to extract RLS parameters. Inpria continues to advance the development of high-resolution photo-patternable metal oxide (MOx) hardmasks specifically designed to address these beyond-RLS requirements, and herein we examine the population statistics of large numbers of MOx resist pillars printed on a NXE 3300B scanner. Published experimental lithography data on large numbers of EUV-printed features is limited, even for conventional chemically amplified resists (CARs). Accurate metrology on many millions of features is a resource intensive proposition which partially explains the relative scarcity of these critical data. To address this deficiency, a metrology protocol for analysis of >10 million contact holes or pillars has been developed and applied to features printed using Inpria MOx resists. The stochastic variability of multiple large pillar populations is analyzed as a function of exposure conditions, resist, and process chemistry. By comparing these experimentally observed populations with contact hole populations derived from conventional chemically amplified resists (CARs) the stochastic limits of the respective resist chemistries are probed.

Collaboration


Dive into the Peter De Schepper's collaboration.

Top Co-Authors

Avatar

Stefan De Gendt

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Terje Hansen

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Ziad El Otell

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar

Alessandro Vaglio Pret

Katholieke Universiteit Leuven

View shared research outputs
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge