Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Sumito Shimizu is active.

Publication


Featured researches published by Sumito Shimizu.


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Nikon EB stepper: its system concept and countermeasures for critical issues

Kazuaki Suzuki; Tomoharu Fujiwara; Kazunari Hada; Noriyuki Hirayanagi; Shintaro Kawata; Kenji Morita; Kazuya Okamoto; Teruaki Okino; Sumito Shimizu; Takehisa Yahiro

The imaging concept of electron projection lithography (EPL) with silicon stencil reticle is explained. A silicon membrane thickness of 1 - 4 micrometer is suitable for the reticle. A scattering contrast of greater than 99% is expected. Nikon EB steppers dynamic writing strategy of discrete exposure on a sub-field by sub-field basis with deflection control of the electron beam is explained. The basic system configuration of EB stepper is introduced. Examples of error budget for CD variation and Overlay/Stitching are shown. Nikons policy for countermeasures for critical issues such as proximity effect correction, sub-field/complementary stitching and wafer heating influence are explained. For extensibility down to 70 nm and below, both exposure tool and reticle should be improved.


26th Annual International Symposium on Microlithography | 2001

Nikon EB Stepper: the latest development status

Kazuaki Suzuki; Tomoharu Fujiwara; Kazunari Hada; Noriyuki Hirayanagi; Shintaro Kawata; Kenji Morita; Kazuya Okamoto; Teruaki Okino; Sumito Shimizu; Takehisa Yahiro; Hajime Yamamoto

The latest development status of EB Stepper is reported. The experimental data include the latest resist image data exposed by 100keV electron beam, mask error factors and dosage margins at several backscattered electron levels, transmission data of continuous membrane reticles, and recommended structures for alignment marks, etc. The basic studies related to system design are also explained, those are the strategy for the management of reticle deformation and the stitching accuracy in overlaid layers, etc. Through these data, the resolution capability down to 50nm technology node is clearly shown and alignment/stitching capability is also described. The requirement to a continuous membrane reticle is indicated from experimental data.


Journal of Vacuum Science & Technology B | 2000

Stencil reticle repair for electron beam projection lithography

Masashi Okada; Sumito Shimizu; Shintaro Kawata; Takashi Kaito

Repair of stencil reticles for electron beam projection lithography system is one of the critical issues on reticle manufacturing.Focused ion beamdeposition is studied as the method for repairing the clear defects of stencil reticle. The film deposition of diamondlike carbon (DLC) across the stencil pattern, on the sidewall of the stencil, and on the pre-etched slot pattern is demonstrated. The deposited DLC films have good properties as the repair material.Deposited patterns across the stencil pattern are imaged on the resist with the Nikon 100 kV experimental projection column. When the thickness of the deposited DLC film is more than 0.5 μm and the contrast aperture size of the projection column is 1.5 mrad, the thickness of the deposited pattern does not affect the critical dimension of the resist pattern imaged the repaired patterns. The profiles, the pattern size, and the electron scatteringproperties of DLC films are stable for 100 kV electron beam continuous irradiation (2 C/cm2 dosage; corresponding to half-year dosage on electron beam stepper). Moreover, the repaired pattern is not damaged by the wet megasonic cleaning.


Japanese Journal of Applied Physics | 1995

Electroplated Reflection Masks for Soft X-Ray Projection Lithography

Katsuhiko Murakami; Sumito Shimizu; Masayuki Ohtani; Hiroshi Nagata; Hiroaki Oizumi; Yoshio Yamashita; Nobufumi Atoda

A new process for fabricating reflection masks for soft X-ray projection lithography (SXPL) using an electroplating method was developed. Resist patterns were formed on molybdenum/silicon (Mo/Si) multilayers with the Mo layer on top, and nickel (Ni) absorber patterns were then electroplated on the multilayers using the top Mo layer as the electrode in nickel sulfamate solution. Subsequently, the resist patterns were removed. Using the reflection masks fabricated by the above process, SXPL was performed using 1/32 reduction Schwarzschild optics tuned to the wavelength of 13 nm and the synchrotron radiation source at SORTEC. Line-and-space patterns down to 0.1 µ m were printed in polymethylmethacrylate (PMMA) resist.


Journal of Vacuum Science & Technology B | 2003

First dynamic exposure results from an electron projection lithography tool

Kazuaki Suzuki; Tomoharu Fujiwara; Shinichi Kojima; Noriyuki Hirayanagi; Takehisa Yahiro; Jin Udagawa; Sumito Shimizu; Hajime Yamamoto; Motoko Suzuki; Hidekazu Takekoshi; Saori Fukui; Muneki Hamashima; Junji Ikeda; Teruaki Okino; Hiroyasu Shimizu; Shin-ichi Takahashi; Atsushi Yamada; Takaaki Umemoto; Satoshi Katagiri; Yukiharu Ohkubo; Toshimasa Shimoda; Keiichi Hirose; Toru Tanida; Yoichi Watanabe; Takeshi Kaminaga; Yoshiaki Kohama; Futoshi Mori; Shigeru Takemoto; Hiroshi Hirose; K. Morita

Electron projection lithography (EPL) is one of the promising technologies below the 65 nm node, especially for contact hole and gate layers. Nikon is developing an EPL exposure tool as an electron beam (EB) stepper and the first generation EB stepper is now being manufactured. The voltage of 100 kV is adopted for electron beam acceleration. The subfield size is 0.25 mm×0.25 mm on the wafer and the deflection width of the electron beam is 5 mm on the wafer. The magnification of the projection optics is 1/4. A 5 mm×25 mm area from the φ200 mm reticle can be exposed by the combination of beam deflection and stage scanning motion (dynamic exposure). This area is called “a mechanical stripe.” After one mechanical stripe exposure, the reticle and wafer stages turn around and the next exposure of the adjacent mechanical stripe starts as a scan and stitch stage motion. Finally, a 20 mm×25 mm exposure field from the φ200 mm reticle is exposed. We report the first dynamic exposure in the history of EPL although on...


Emerging Lithographic Technologies VII | 2003

Supercritical resist dry technique for electron-beam projection lithography (EPL)

George Petricich; Kohei Suzuki; Jun Munemasa; Tetsuya Yoshikawa; Nobuyuki Kawakami; Sumito Shimizu; Manabu Watanabe

A single-layer resist process for a technology nodes at or below 65nm utilizing a novel supercritical dry technique and Electron-beam Projection Lithography (EPL) technology is discussed. EPL is inhernelty advantageous in imaging sub-65nm geometries with high aspect ratios. Pattern collapse of these high aspect ratio (resist) structures, however, is a critical and limiting issue. By employing our novel supercritical carbon dioxide (SCCO2) dry technique, 70nm and 60nm lines and spaces patterns with a resist thickness of 250nm, whose aspect ratio is 3.5 and 4.2 respectively, have been successfully demonstrated without resist pattern collapse.


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Repair method on silicon stencil reticles for EB projection lithography

Sumito Shimizu; Shintaro Kawata; Takashi Kaito

The stencil reticle is one of masks for EPL (Electron beam Projection Lithography). The ability to repair pattern defects in such masks is an essential requirement for their use. For clear defects, repair issues include deposition on the stencil pattern, electron scattering properties of the deposition material, stability of the repair against 100 kV electron beam (EB) bombardment and etching and pattern profile accuracy. For opaque defects, ion milling of the stencil material to high accuracy is required. In this paper, we discuss the repair deposition of clear defects up to 0.15 micrometer using a carbon-compound precursor and the critical dimension (CD) controllability of the exposed resist pattern with various thickness of repair depositions using Nikons EB experimental projection column (100 kV). In addition, using a Monte Carlo simulation of the electron scattering in the silicon membrane and the repair deposition, the beam contrast is estimated for EPL at contrast aperture (CAP) size. The resist CD can be controlled using more than 2 micrometer-thick Diamond like Carbon (DLC) deposited on the stencil reticle and shaped by focused ion beam (FIB). Profiles, pattern sizes and the electron scattering properties of DLC repairs are stable against 100 kV EB irradiation (about 2 C/cm2 dosage; corresponding to half-year dosage). These results show the possibility of DLC as the repair material for clear defects. The possibility of repairing opaque defect, which involves FIB milling of sizes from 0.2 to 0.4 micrometer, is also demonstrated.


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Data of scattered electron characteristics in 100-kV EB stepper

Kenji Morita; Takehisa Yahiro; Sumito Shimizu; Hajime Yamamoto; Noriyuki Hirayanagi; Tomoharu Fujiwara; Syouhei Suzuki; Hiroyasu Shimizu; Shintaro Kawata; Teruaki Okino; Kazuaki Suzuki

Nikon is developing an Electron Beam (EB) stepper as one of the next-generation lithography systems for feature sizes of less than 100 nm. As a reticle for the EB stepper using a high power EB (acceleration voltage: 100 kV, current on reticle: 100 (mu) A), a scattering stencil reticle with a grid-grillage structure has been investigated, EB projection experimental column which operates a high power EB was constructed. Some experimental data of scattered electron characteristics using the EB projection experimental column are given as follows: (1) Scattering contrast of 99.9% can be obtained using 100 kV electron beam (membrane thickness; 2 micrometer, aperture half angle onto reticle; 2 mrad). (2) Changes of resist pattern width of 1:1 and 1:2 lines and spaces are around 40% and around 20% respectively due to the proximity effects by backscattered electrons form the silicon substrate. (3) Contrast of EB mark detection for the system calibration, the reticle alignment, and the wafer registration is obtained. Comparing with the values that be obtained by theoretical calculation, some of experimental data gave good agreement.


Proceedings of SPIE, the International Society for Optical Engineering | 2000

Investigation of proximity effect correction in electron projection lithography (EPL)

Teruaki Okino; Kazuaki Suzuki; Kazuya Okamoto; Shintaro Kawata; Kiyoshi Uchikawa; Syouhei Suzuki; Sumito Shimizu; Tomoharu Fujiwara; Atsushi Yamada; Koichi Kamijo

An electron projection lithography (EPL) system which projects reticle patterns onto a wafer will be applied to sub 100 nm lithography. Requirements for line width accuracy are very strict as feature sizes are less than 100 nm. For electron beam lithography, proximity effect corrections have always been an important issue for accurate feature width control. In this paper characteristics of several correction methods are examined, and appropriate correction methods for 100 kV EPL are introduced. Employing the shape correction method burdens the reticle pattern preparation system much more than other methods. Therefore a calculation method suitable for 100 kV EPL where the backscatter radius is very wide ((beta) b approximately equals 30 micrometer) and the forward scatter radius is narrow ((beta) f approximately equals 7 nm) has been developed. The calculation of deposition energy by the backscattered electron beam is carried out with a coarse grid but wide range. The calculation of the combined effect of the electron scattering blurs from the features is carried out only within a narrow range. The correction calculation is carried out using both of these results. Using this method, accurate and fast calculations can be achieved. Employing the GHOST correction method increases total exposure cost. The practical GHOST correction methods may also be improved. An additional correction method named shape correction with GHOST is also shown.


Journal of Electron Spectroscopy and Related Phenomena | 1996

Soft X-ray microscope with zone plate at UVSOR

Norio Watanabe; Sadao Aoki; Yoshio Shimanuki; Kenzo Kawasaki; Mieko Taniguchi; Eric Anderson; David T. Attwood; D. Kern; Sumito Shimizu; Hiroshi Nagata; Yoshiaki Horikawa; Shouichirou Mochimaru; Hiroshi Kihara

Abstract A soft X-ray microscope with zone plates was set up at UVSOR [synchrotron radiation facility (750MeV, 200mA) at Institute for Molecular Science, Okazaki, Japan]. A 50nm line & space pattern could be resolved at λ=3.2nm. With an environmental chamber (wet cell) using SiN windows, wet biological specimens, such as letus protoplasts, rabbit myofibrils, tubulin of COS cell and Deinococcus radiodurans strains, could be observed at λ=2.5nm. In the present microscope, the numerical aperture of the condenser was much smaller than that of the objective. To adjust both the numerical apertures, an ellipsoidal condenser mirror system was tested, and preliminary result (an image of Cu mesh, 12.7μm pitch) was obtained.

Researchain Logo
Decentralizing Knowledge