Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Takahiro Kishioka is active.

Publication


Featured researches published by Takahiro Kishioka.


Colloids and Surfaces B: Biointerfaces | 2014

Patterning of photocleavable zwitterionic polymer brush fabricated on silicon wafer.

Tomohiro Kamada; Yuka Yamazawa; Tadashi Nakaji-Hirabayashi; Hiromi Kitano; Yuki Usui; Yoshiomi Hiroi; Takahiro Kishioka

Brushes of a polymer, namely poly(carboxymethylbetaine) (PCMB), were fabricated on silicon wafers by reversible addition-fragmentation chain-transfer (RAFT) polymerization using a surface-confined RAFT agent having an aromatic group at its bottom. The polymer brush showed effective suppression of the non-specific adsorption of bovine serum albumin (BSA) and adhesion of fibroblasts (3T3 cells). In contrast, BSA and 3T3 cells significantly adsorbed on and adhered to positively or negatively charged polymer brushes fabricated by the same procedure. Upon UV irradiation at 193 nm, the thickness of the PCMB brush with an aromatic group at its bottom decreased significantly whereas PCMB prepared using a surface-confined RAFT agent without an aromatic group needed a much higher irradiation dose to afford a comparable decrease in thickness. These results indicate a preferential cleavage of the PCMB brush due to photodecomposition of the phenyl group at the bottom. BSA and 3T3 cells non-specifically adsorbed on and adhered to the UV irradiation-induced hollow spaces, respectively. Furthermore, a designed pattern with a resolution of 5 μm was successfully made on the PCMB brush above the silicon wafer by simple UV irradiation. These results suggest that the surface-confined aromatic RAFT agent will be quite useful for simple photolithography in biomedical fields.


Colloids and Surfaces B: Biointerfaces | 2016

Gradation of proteins and cells attached to the surface of bio-inert zwitterionic polymer brush.

Lifu Li; Tadashi Nakaji-Hirabayashi; Hiromi Kitano; Kohji Ohno; Takahiro Kishioka; Yuki Usui

A self-assembled monolayer (SAM) of a 2-bromoisobutyryl end group-carrying initiator for atom transfer radical polymerization (ATRP) was constructed on the surface of silicon wafer or glass substrates via a silane-coupling reaction. When the initiator SAM was irradiated with UV light at 254nm, the surface density of bromine atoms was reduced by the scission of CBr bonds as observed by XPS. With the surface-initiated ATRP of the zwitterionic vinyl monomer, carboxymethyl betaine (CMB), the surface density of PCMB brushes could be easily varied by changing the irradiation period of UV light prior to the polymerization. Furthermore, by using a UV-cut shutter sliding above the initiator SAM-modified substrate at a constant speed, the degree of bromine atom removal could be linearly varied along the direction of movement of the shutter. Consequently, the amount of both proteins adsorbed and cells adhered to the PCMB brush-covered substrate could easily be controlled by the gradation of the surface density of PCMB brushes, which suppressed protein adsorption and cell adhesion. Such a technique is very simple and useful for the regulation of the surface density of adsorbed proteins and adhered cells on an originally bio-inert surface.


Advances in Resist Technology and Processing XXI | 2004

Impact of BARC on SEM shrinkage of ArF resist

Shi Yong Lee; Myung-sun Kim; Sangwoong Yoon; Kyung-Mee Kim; Jae-Hyun Kim; Hyun-woo Kim; Sang-Gyun Woo; Young-Ho Kim; Sang-mun Chon; Takahiro Kishioka; Yasuhisa Sone; Yasuyuki Nakajima

The shrinkage of resist pattern during in-line SEM measurement has been argued and studied as one of the problems unsettled for manufacturing with ArF photolithography. Many of attempts to solve this problem have focused their attentions on the improvement of resist and inspection equipment. We bring up BARC (bottom anti-reflective coating) as a new impact factor on SEM shrinkage of resist. Practically, although the same resist was employed, our shrinkage tests gave the results depending on the kind of BARC. Feature size and depth of focus also affect SEM shrinkage of resist. Effect of reflectivity on SEM shrinkage was evaluated by changing thickness of BARCs and resultantly was somewhat significant. In this paper, the BARC-dependent results of SEM shrinkage are analyzed and discussed to provide a possibility that BARC may have another function of reducing SEM shrinkage.


Advances in resist technology and processing. Conference | 2005

New polymer platform of BARC for ArF lithography

Yoshiomi Hiroi; Takahiro Kishioka; Rikimaru Sakamoto; Daisuke Maruyama; Yasushi Sakaida; Takashi Matsumoto; Yasuyuki Nakajima; Sang-mun Chon; Young-Ho Kim; Sangwoong Yoon; Seok Jin Han; Young Hoon Kim; EunYoung Yoon

We found a new polymer platform for ArF BARC that can be prepared by addition polymerization. This system not only improves resist pattern collapse, but also allows control of the optimum film thickness, and etch rate by combination of compounds, method of polymerization (molecular weight control), and additives. Moreover, these materials have the unique characteristic that the resist profiles change little even if the type of resist changes.


Proceedings of SPIE | 2007

BARC (bottom anti-reflective coating) for immersion process

Yoshiomi Hiroi; Takahiro Kishioka; Rikimaru Sakamoto; Daisuke Maruyama; Takuya Ohashi; Tomohisa Ishida; Shigeo Kimura; Yasushi Sakaida; Hisayuki Watanabe

193nm immersion Lithography will be installed at 45nm and beyond. For severe CD control, BARC (Bottom Antireflective Coating) has been used and this material must be used for immersion lithography. So far, we have developed several BARCs with various advantages (fast etch rate, broad resist compatibility, high adhesion, conformal...etc). Especially in an immersion process, development of BARC has to satisfy for the optical control and defectivity. The reflectivity control at Hyper NA is not same as the lower NA, because optical pass length in the BARC is not the same between low NA and High NA. In order to achieve enough etch selectivity to the substrate, hard mask materials are necessary. These under layers have absorption at 193nm. As a result of simulation, target optical parameters of next BARC should be low k value (k = ~0.25) for multi BARC stack. On the other hand, the defect issue must be decreased in the immersion process. However, the generation of many kinds of defects is suspected in the immersion process (water mark, blob defect, sublimation defect...etc). Regarding the BARC, there are also several specific defects in this process. Especially, after edge bead rinse, film peeling at edge area is one of the concerns. We researched the root cause of edge peeling and a solution for this defect. In this paper, we will discuss the detail of our BARC approach for litho performance, optical parameter, leaching, sublimation, edge peel defects and etch selectivity, and introduce new BARC for 193nm immersion lithography.


26th Annual International Symposium on Microlithography | 2001

Development of 193-nm organic BARC

Takahiro Kishioka; Shinya Arase; Kazuhisa Ishii; Kenichi Mizusawa; Hiroyoshi Fukuro

Bottom Anti-Reflective Coatings (BARC) for ArF Lithography Technology were developed using a polymeric system, which was thermally stable to sustain high temperatures encountered in bake process. On Lithographic performance, the shape of photoresist pattern on new BARC was controllable by the additives in BARC composition. For example, NCA429 was compatible with PAR710(sumitomo ArF photo resist). New ArF BARC also have better properties suitable for current process than existing ArF BARC.: Firstly, the etch rate was about 1.3-1.5 times higher than that of PAR710. New BARC was able to be etched faster than existing BARC. Secondly, spin bowl crosslinking issue of BARC in spin cup and drain was solved to wash by photoresist solvent, because it was hard to crosslink without baking.


Journal of Photopolymer Science and Technology | 2018

Novel Gap Filling BARC with High Chemical Resistance

Yuto Hashimoto; Shigetaka Otagiri; Hiroto Ogata; Satoshi Kamibayashi; Ryuta Mizuochi; Takahumi Endo; Yuki Endo; Takahiro Kishioka

In state-of-the-art semiconductor manufacturing processes, a BARC film/process needs to meet a multitude of requirements. In particular, it should possess a good SC-1(mixture of NH3/H2O2) resistance, because a BARC film often serves as a wet etching mask. By introducing a low molecular weight additive which has a higher adhesive strength to the TiN surface than that of a conventional BARC, we have developed a novel BARC that possesses a better gap filling property, a superior planarity, and an over 3 times higher SC-1 resistance.


Proceedings of SPIE | 2017

Novel gap filling BARC with high chemical resistance

Hiroto Ogata; Yuto Hashimoto; Yuki Usui; Mamoru Tamura; Tomoya Ohashi; Yasushi Sakaida; Takahiro Kishioka

In the recent of the semiconductor manufacturing process, variety of properties (narrow gap-filling and planarity etc.) are required to organic BARC in addition to the conventional requirements. Moreover, SC-1 resistance is also needed because BARC is often used as a wet etching mask when TiN processing. But conventional BARC which include crosslinker doesn’t have enough SC-1 resistance, and we found that it is also difficult to obtain good gap-filling and good planarity because of outgassing and film shrinkage derived from the crosslinker. In this study, we have developed the new self-crosslinking BARC. The new crosslinking system shows low outgassing and film shrinkage because of not including crosslinker. So, novel BARC has better gap filling property and planarity and over 3 times higher SC-1 resistance than that of conventional BARC. Moreover, by adding the low molecular weight additive which has high adhesive unit to TiN surface, the novel BARC has over 10 times higher SC-1 resistance than that of conventional BARC. And this novel BARC can be applied both ArF and KrF lithography process because of broad absorbance, high etching rate, chemical resistance (SC-1, SC-2, DHF, and others) and good film thickness uniformity. In this paper, we will discuss the detail of new self-crosslinking BARC in excellent total performance and our approach to achieve high chemical resistance.


Advances in Resist Technology and Processing XXI | 2004

Thin film and high-etch-rate type 248-nm bottom antireflective coatings

Tomoyuki Enomoto; Satoshi Takei; Takahiro Kishioka; Tadashi Hatanaka; Rikimaru Sakamoto; Yasuyuki Nakajima

A frequent problem encountered by photoresists during the manufacturing of semiconductor device is that activating radiation is reflected back into the photoresist by the substrate. So, it is necessary that the light reflection is reduced from the substrate. One approach to reduce the light reflection is the use of bottom anti-reflective coating (BARC) applied to the substrate beneath the photoresist layer. The BARC technology has been utilized for a few years to minimize the reflectivity. As the chip size is reduced to sub 0.13 micron, the photoresist thickness has to decrease with the aspect ratio being less than 3.0. Therefore, new Organic BARC is strongly required which has the minimum reflectivity with thinner BARC thickness and higher etch selectivity toward resists. Nissan Chemical Industries, Ltd. and Brewer Science, Inc. have developed the advanced Organic BARC for achieving the above purpose. As a result, the suitable high performance NCA3000 series 248nm Organic BARCs were developed. Using CF4 gas as etchant, the plasma etch rate of NCA3000 series is about 1.4-1.6 times higher than that of conventional 248nm resists and 1.1-1.2 times higher than that of the existing product. The NCA3000 series can minimize the substrate reflectivity at below 45nm BARC thickness, shows excellent litho performance and coating properties.


Advances in Resist Technology and Processing XX | 2003

New 193-nm bottom anti-reflective coatings

Keisuke Nakayama; Takahiro Kishioka; Shinya Arase; Rikimaru Sakamoto; Yoshiomi Hiroi; Yasuyuki Nakajima

Currently, a reduction in the critical dimension (CD) of integrated circuits is needed. Therefore, 193nm (ArF Excimer laser) optical lithography technology is introduced to manufacture IC in the semiconductor industry. In these circumstances, Bottom Anti-Reflective Coatings (BARCs) for 193nm optical lithography are required for high performance. New spin-on organic 193nm BARC chemistries (chromophore-attached polymers) have been developed with the objective being a commercial product. This paper discusses the development of new spin-on organic 193nm BARC (ARC29A). New 193nm BARC had many useful properties considered important for a successful product. In addition to the control of the reflectivity, new 193 BARC was developed with the purpose of increasing adhesion between photoresist and BARC to restrain pattern collapse at interface. It has been strongly required to restrain pattern collapse recently, because of the continuing demand for decreasing feature size. It was accomplished by optimize polymer structure, increasing the affinity to the photoresist and so on. The development process plan details in the releasing in the day. And in litho performance, new 193nm BARC has good compatibility (photoresist-profile, DOF, EL etc) with various photoresists. At IMEC, 80nm resolution was achieved. The plasma etch rate was about 1.3 times leading 193nm photoresist, using CF4 as etchant.

Collaboration


Dive into the Takahiro Kishioka's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Satoshi Takei

University of Texas at Austin

View shared research outputs
Top Co-Authors

Avatar

Tomoyuki Enomoto

National Archives and Records Administration

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge