Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Takeyoshi Ohashi is active.

Publication


Featured researches published by Takeyoshi Ohashi.


Journal of the Japan Society of Colour Material | 2006

Scanning electron microscope

Yasunari Sohda; Hiromasa Yamanashi; Muneyuki Fukuda; Takeyoshi Ohashi; Osamu Komuro

Provided is a scanning electron microscope equipped with a high-speed and high-precision astigmatism measuring means to be used when both astigmatism generated by an electron-beam column and astigmatism generated from the surroundings of a measuring sample exist. This scanning electron microscope is characterized in controlling an astigmatism corrector (201) with high-speed and high-precision, to correct the astigmatism, by using both a method of obtaining the astigmatism from the qualities of two-dimensional images to be acquired upon changing the intensity of the astigmatism corrector (201), and a method of measuring the astigmatism from the change in the position displacement of an electron beam that occurs when the electron beam is tilted using a tilt deflector (202).


Proceedings of SPIE | 2013

Three-dimensional profile extraction from CD-SEM image and top/bottom CD measurement by line-edge roughness analysis

Atsuko Yamaguchi; Takeyoshi Ohashi; Takahiro Kawasaki; Osamu Inoue; Hiroki Kawada

A new method for calculating critical dimension (CDs) at the top and bottom of three-dimensional (3D) pattern profiles from a critical-dimension scanning electron microscope (CD-SEM) image, called as “T-sigma method”, is proposed and evaluated. Without preparing a library of database in advance, T-sigma can estimate a feature of a pattern sidewall. Furthermore, it supplies the optimum edge-definition (i.e., threshold level for determining edge position from a CDSEM signal) to detect the top and bottom of the pattern. This method consists of three steps. First, two components of line-edge roughness (LER); noise-induced bias (i.e., LER bias) and unbiased component (i.e., bias-free LER) are calculated with set threshold level. Second, these components are calculated with various threshold values, and the threshold-dependence of these two components, “T-sigma graph”, is obtained. Finally, the optimum threshold value for the top and the bottom edge detection are given by the analysis of T-sigma graph. T-sigma was applied to CD-SEM images of three kinds of resist-pattern samples. In addition, reference metrology was performed with atomic force microscope (AFM) and scanning transmission electron microscope (STEM). Sensitivity of CD measured by T-sigma to the reference CD was higher than or equal to that measured by the conventional edge definition. Regarding the absolute measurement accuracy, T-sigma showed better results than the conventional definition. Furthermore, T-sigma graphs were calculated from CD-SEM images of two kinds of resist samples and compared with corresponding STEM observation results. Both bias-free LER and LER bias increased as the detected edge point moved from the bottom to the top of the pattern in the case that the pattern had a straight sidewall and a round top. On the other hand, they were almost constant in the case that the pattern had a re-entrant profile. T-sigma will be able to reveal a re-entrant feature. From these results, it is found that T-sigma method can provide rough cross-sectional pattern features and achieve quick, easy and accurate measurements of top and bottom CD.


Proceedings of SPIE | 2017

Enabling CD SEM metrology for 5nm technology node and beyond

Gian F. Lorusso; Takeyoshi Ohashi; Astuko Yamaguchi; Osamu Inoue; Takumichi Sutani; N. Horiguchi; Jürgen Bömmels; Christopher J. Wilson; Basoene Briggs; Chi Lim Tan; Tom Raymaekers; R. Delhougne; Geert Van den bosch; Luca Di Piazza; Gouri Sankar Kar; A. Furnemont; Andrea Fantini; Gabriele Luca Donadio; Laurent Souriau; Davide Crotti; Farrukh Yasin; Raf Appeltans; Siddharth Rao; Danilo De Simone; Paulina Rincon Delgadillo; Philippe Leray; Anne-Laure Charley; Daisy Zhou; Anabela Veloso; Nadine Collaert

The CD SEM (Critical Dimension Scanning Electron Microscope) is one of the main tools used to estimate Critical Dimension (CD) in semiconductor manufacturing nowadays, but, as all metrology tools, it will face considerable challenges to keep up with the requirements of the future technology nodes. The root causes of these challenges are not uniquely related to the shrinking CD values, as one might expect, but to the increase in complexity of the devices in terms of morphology and chemical composition as well. In fact, complicated threedimensional device architectures, high aspect ratio features, and wide variety of materials are some of the unavoidable characteristics of the future metrology nodes. This means that, beside an improvement in resolution, it is critical to develop a CD SEM metrology capable of satisfying the specific needs of the devices of the nodes to come, needs that sometimes will have to be addressed through dramatic changes in approach with respect to traditional CD SEM metrology. In this paper, we report on the development of advanced CD SEM metrology at imec on a variety of device platform and processes, for both logic and memories. We discuss newly developed approaches for standard, IIIV, and germanium FinFETs (Fin Field Effect Transistors), for lateral and vertical nanowires (NW), 3D NAND (three-dimensional NAND), STT-MRAM (Spin Transfer Magnetic Torque Random-Access Memory), and ReRAM (Resistive Random Access Memory). Applications for both front-end of line (FEOL) and back-end of line (BEOL) are developed. In terms of process, S/D Epi (Source Drain Epitaxy), SAQP (Self-Aligned Quadruple Patterning), DSA (Dynamic Self-Assembly), and EUVL (Extreme Ultraviolet Lithography) have been used. The work reported here has been performed on Hitachi CG5000, CG6300, and CV5000. In terms of logic, we discuss here the S/D epi defect classification, the metrology optimization for STI (Shallow Trench Isolation) Ge FinFETs, the defectivity of III-V STI FinFETs,, metrology for vertical and horizontal NWs. With respect to memory, we discuss a STT-RAM statistical CD analysis and its comparison to electrical performance, ReRAM metrology for VMCO (Vacancy-modulated conductive oxide) with comparison with electrical performance, 3D NAND ONO (Oxide Nitride Oxide) thickness measurements. In addition, we report on 3D morphological reconstruction using CD SEM in conjunction with FIB (Focused Ion Beam), on optimized BKM (Best Known Methods) development methodologies, and on CD SEM overlay. The large variety of results reported here gives a clear overview of the creative effort put in place to ensure that the critical potential of CD SEM metrology tools is fully enabled for the 5nm node and beyond.


Journal of Micro-nanolithography Mems and Moems | 2015

Photoresist cross-sectional shape change caused by scanning electron microscope-induced shrinkage

Takeyoshi Ohashi; Tomoko Sekiguchi; Atsuko Yamaguchi; Junichi Tanaka; Hiroki Kawada

Abstract. Change in the cross-sectional profile of a photoresist (PR) pattern due to shrinkage was evaluated to investigate the mechanism of electron beam-induced shrinkage. A scanning transmission electron microscope (STEM) was used to observe the cross-sectional profiles of PR lines after atomic-layer deposition of metal oxide and carbon deposition on the sample surface. A HfO2 thin layer enhanced the profile contrast in the STEM measurements without blurring the edge, which enabled the precise cross-sectional measurement of the PR patterns. We found interesting features associated with shrinkage from the detailed profile change obtained using this method, such as a rounding of the pattern top, a necking of the sidewall profile, a rounding of the foot in the pattern on the organic underlying layer, and voltage-independent sidewall shrinkage under a large electron beam dose. These behaviors along with the results from a Monte Carlo simulation are discussed. Consequently, these observations experimentally clarified that the elastic deformation effect and the impact of the secondary electrons emitted from the spaces around the pattern into the sidewall are important to interpret the change in the shape of the pattern induced by shrinkage.


Proceedings of SPIE | 2013

Precise measurement of photoresist cross-sectional shape change caused by SEM-induced shrinkage

Takeyoshi Ohashi; Tomoko Sekiguchi; Atsuko Yamaguchi; Junichi Tanaka; Hiroki Kawada

The mechanism of photoresist shrinkage induced by electron-beam (EB) irradiation was studied. A precise cross-sectional profile of a photoresist pattern was obtained by a scanning transmission electron microscope (STEM) after atomic layer deposition of HfO2 on the sample patterns. Photoresist lines and spaces fabricated with positive-tone development and negative-tone development were exposed to an EB with much higher dose than a practical dose (to accelerate shrinkage intentionally). The obtained STEM images of the patterns before and after EB irradiation show that the shrinkage of the negative-tone-developed patterns is smaller than that of the positive-tone-developed patterns. This observation is explained by the fact that negative-tone-developed photoresist molecules do not contain protection groups, whose volatilization caused by EB irradiation is one of the origins of shrinkage. Another finding is that the EB irradiation causes top-rounding and necking of the pattern profile as well as linewidth slimming. The rounding of the pattern top profile suggests that the pattern’s shape was elastically deformed. In addition, EB irradiation only onto the spaces caused sidewall shrinkage and a necking profile, although no electrons were irradiated directly onto the pattern. These phenomena are considered to be due to the electrons scattered from the spaces to the pattern sidewall. Finally, a Monte Carlo simulation of electron scattering showed that the distribution of the deposited EB energy on the pattern surface corresponds to the above-described change in pattern shape. Consequently, these observations and simulation results clarify the importance of the effect of elastic shape change and the impact of the electrons scattered from the underlying layer onto the sidewall in the mechanism of photoresist shrinkage.


Japanese Journal of Applied Physics | 2012

Photoresist Shrinkage Caused by Single-Line Scan of Electron Beam

Takeyoshi Ohashi; Junichi Tanaka

Shrinkage behavior caused by a single-line scan of an electron beam over a photoresist line was observed, including shrinkage distribution in the photoresist-line direction. A new method for evaluating the minute amount shrinkage and the shrinkage distribution caused by a single-line scan was developed. According to the results of evaluations with this method, the shrinkage of an about 50-nm-wide photoresist line caused by a single-line scan is less than 0.1 nm under landing energies of 200, 300, and 500 eV and probe current of 8 pA. This shrinkage is more than ten times smaller than the typical amount of shrinkage caused by a standard two-dimensional scan. This result indicates the possibility of a significant reduction of photoresist shrinkage during scanning-electron-microscope measurements. The evaluation method also yielded the first observation of the shrinkage distribution in the photoresist-line direction. The results show that the shrinkage caused by a single-line scan distributes more than 30 nm, which is wider than the calculated electron-scattering range. This result suggests that there likely to be an additional mechanism involved in photoresist shrinkage other than the microscopic interaction between incident electrons and photoresist molecules. An elastic-relaxation effect and a contribution of back-scattered electrons are plausible additional mechanisms for photoresist shrinkage.


Proceedings of SPIE | 2016

Line width roughness accuracy analysis during pattern transfer in self-aligned quadruple patterning process

Gian F. Lorusso; Osamu Inoue; Takeyoshi Ohashi; Efrain Altamirano Sanchez; Vassilios Constantoudis; Shunsuke Koshihara

Line edge roughness (LER) and line width roughness (LWR) are analyzed during pattern transfer in a self-aligned quadruple patterning (SAQP) process. This patterning process leads to a final pitch of 22.5nm, relevant for N7/N5 technologies. Measurements performed by CD SEM (Critical Dimension Scanning Electron Microscope) using different settings in terms of averaging, field of view, and pixel size are compared with reference metrology performed by planar TEM and three-Dimensional Atomic Force Microscope (3D AFM) for each patterning process step in order to investigate the optimal condition for an in-line LWR characterization. Pattern wiggling is als0 quantitatively analyzed during LER/LWR transfer in the SAQP process.


Proceedings of SPIE | 2014

Correction of EB-induced shrinkage in contour measurements

Takeyoshi Ohashi; Shoji Hotta; Atsuko Yamaguchi; Junichi Tanaka; Hiroki Kawada

We have proposed a new method for correcting electron beam (EB)-induced photoresist shrinkage in two-dimensional pattern contours extracted from a scanning electron microscope image. This method restores the original shrinkage-free contour from the experimentally determined “shrunk contour”, based on a shrinkage model which takes into account of the elastic nature of the shrinkage phenomena caused by the photoresist-volume reduction. Verification of this shrinkage model was demonstrated by using ArF resist patterns as follows. First, the model was calibrated with the shrinkage data of several line patters with different linewidth prior to the contour correction. Next, the amount of shrinkage of elbow patterns was measured by comparing its contours obtained with small and sufficiently large EB dosages. It was found that the shrinkage of the inner edge of the elbow corner was smaller than that of the outer edge, which can be interpreted as a result of the elastic deformation. Finally, validity of shrinkage correction was examined. The model calculation correctly reproduced the observed shrinkage including its dependence on the location in the pattern. The restored contour showed a good consistency with the experimental results and the total root-mean-square error of the shrinkage correction was 0.5 nm. This result confirmed that our shrinkage model adequately describes the shrinkage of two dimensional patterns. Consequently, proposed shrinkage correction method is expected to improve the accuracy of contour measurements by a critical dimension-scanning electron microscope.


Proceedings of SPIE | 2012

Mechanism of photoresist shrinkage investigated by single-line scan of electron beam

Takeyoshi Ohashi; Hiroaki Oizumi; Junichi Tanaka; Hiroki Kawada

Shrinkage behavior caused by a single-line scan of an electron beam over a photoresist line was studied, including shrinkage distribution in the photoresist-line direction. As single-line scan is the minimum unit of controllable electron-beam irradiation during scanning-electron-microscope-image (SEM-image) processing, the minimum amount of shrinkage should be observed in the condition. A new method for evaluating the minute amount shrinkage and the shrinkage distribution caused by a single-line scan was developed. According to the results of evaluations with this method, the shrinkage of a 50-nm-wide photoresist line caused by a single-line scan is less than 0.1 nm under landing energies of 200, 300, and 500 eV and probe current of 8 pA. This shrinkage is more than ten times smaller than the typical amount of shrinkage caused by a standard two-dimensional scan. This result indicates the possibility of a significant reduction of photoresist shrinkage during SEM measurements. The evaluations also show that the shrinkage caused by a single-line scan distributes more than about 30 nm in the photoresist-line direction, which is wider than the simulated electron-scattering range. Moreover, the evaluations show that the shrinkage distribution is narrower at higher position of the photoresist-line. This tendency suggests that the wide shrinkage-distribution does not stem from the distribution of the back-scattered electrons (BSEs) which enter the side wall of the photoresist line from the spaces nearby, because the incidents of BSEs distribute wider at higher position of the photoresist-line. Hence, shrinkage occurs in a wider region of the photoresist line than the region where electrons (including directly incident electrons and BSEs) reach. This result suggests that in order to interpret the photoresist-shrinkage mechanism it is important to clarify how the microscopic volume-reduction caused by electron-molecule interactions is integrated into macroscopic photoresist-pattern deformation. An elastic deformation is a plausible mechanism for this macroscopic photoresist-shrinkage process.


Metrology, Inspection, and Process Control for Microlithography XXXII | 2018

Advanced CD-SEM imaging methodology for EPE measurements

Hiroyuki Shindo; Yoshikata Takemasa; Gian F. Lorusso; Takeyoshi Ohashi; Anne-Laure Charley

Accurate EPE (edge placement error) characterization is important for the process control of high-volume manufacturing at N5 BEOL and beyond. In a CD-SEM metrology, the accurate edge-to-edge measurements among multiple layers and/or SEM-Contour extraction are required for the accurate EPE characterization. One of the technical challenges in CD-SEM metrology is to control charging effects caused by EB-irradiation during SEM image acquisition. In this paper, the effects of new charge control methods (Special Scan and Faster Scan), which are implemented in the latest Hitachi CD-SEM (CG6300), were examined with EUV resist hole-patterns. It was confirmed that Special Scan showed a profound effect on the suppression of the charge-induced errors. We also demonstrated the effects of the Special Scan for CD measurements and Contour Extraction for the EPE characterization of block on SAQP (SAQP lines + EUV block) pattern at imec iN7platform. Consequently, Special Scan is expected to be the solution for the accurate EPE measurements by CD-SEM.

Collaboration


Dive into the Takeyoshi Ohashi's collaboration.

Researchain Logo
Decentralizing Knowledge