Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Tatsuya Hayashi is active.

Publication


Featured researches published by Tatsuya Hayashi.


Proceedings of SPIE | 2016

Nanoimprint system development and status for high-volume semiconductor manufacturing

Tsuneo Takashima; Yukio Takabayashi; Naosuke Nishimura; Keiji Emoto; Takahiro Matsumoto; Tatsuya Hayashi; Atsushi Kimura; Jin Choi; Philip Schumaker

Imprint lithography has been shown to be an effective technique for replication of nano-scale features. Jet and Flash* Imprint Lithography (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. Criteria specific to any lithographic process for the semiconductor industry include overlay, throughput and defectivity. The purpose of this paper is to describe the technology advancements made overlay, throughput and defectivity and to introduce the FPA-1200NZ2C cluster system designed for high volume manufacturing of semiconductor devices. in the reduction of particle adders in an imprint tool and introduce the new mask replication tool that will enable the fabrication of replica masks with added residual image placement errors suitable for memory devices with half pitches smaller than 15nm. Overlay results better than 5nm 3sigma have been demonstrated. To further enhance overlay, wafer chucks with improved flatness have been implemented to reduce distortion at the wafer edge. To address higher order corrections, a two part solution is discussed. An array of piezo actuators can be applied to enable linear corrections. Additional reductions in distortion can then be addressed by the local heating of a wafer field. The NZ2C cluster platform for high volume manufacturing is also discussed. System development continues this year with a target for introduction later in 2016. The first application is likely to be NAND Flash memory, and eventual use for DRAM and logic devices as both overlay and defectivity improve.


Proceedings of SPIE | 2007

A short-pulsed laser cleaning system for EUVL tool

Masami Yonekawa; Hisashi Namba; Tatsuya Hayashi; Yutaka Watanabe

A traditional method of reticle protection, using a pellicle, is thought to be difficult to apply to EUVL tool. There is a possibility that some particles adhere to the surface of a reticle. In order to resolve this reticle issue, we are investigating in-situ short-pulsed laser cleaning system. In order to confirm whether it can be applied to EUVL tool or not, we have to verify experimentally that it is possible to remove particles in vacuum without any damage to a Mo/Si multilayer. In this work, as a short-pulsed laser, a Q-switched YAG Laser (wavelength: 266~1064 nm, pulse duration time: ~7 ns) has been used. As experimental results, at the pressure on the order of 10-3 Pa, around 100% removal rate to PSL (organic) particles can be achieved without particular damage using a DUV laser. And also, it is found that a laser cleaning method in vacuum is easier to remove particles on a surface than that in atmosphere and for SiO2 and Ni (inorganic) particles, this method is particularly effective. This reason can be explained that the drag force exerted to a particle by the surrounding gas molecules is neglected at the pressure on the order of 10-3 Pa because a gas is in free molecule regime.


Novel Patterning Technologies 2018 | 2018

Overlay improvements using a novel high-order distortion correction system for NIL high-volume manufacturing

Mitsuru Hiura; Tatsuya Hayashi; Atsushi Kimura; Yoshio Suzaki

Nanoimprint lithography manufacturing equipment utilizes a patterning technology that involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. The technology faithfully reproduces patterns with a higher resolution and greater uniformity compared to those produced by photolithography equipment. Additionally, as this technology does not require an array of wide-diameter lenses and the expensive light sources necessary for advanced photolithography equipment, NIL equipment achieves a simpler, more compact design, allowing for multiple units to be clustered together for increased productivity. In this paper, we review the advancements in the wafer imprinting system. To address high volume manufacturing concerns, an FPA-1200NZ2C four-station cluster tool is used in order to meet throughput and cost of ownership requirements (CoO). Throughputs of up to 90 wafers per hour were achieved by applying a multi-field dispense method. Mask like of up to 81 lots, using a contact test mask were demonstrated. The status of the tool overlay is discussed. Application of a High Order Distortion Correction (HODC) system to the existing magnification actuator has enabled correction of high order distortion terms up to K30. A mix and match overlay of 3.4 nm has been demonstrated and a single machine overlay across the wafer was 2.5nm.


Proceedings of SPIE | 2017

Nanoimprint system development for high-volume semiconductor manufacturing the and status of overlay performance

Yukio Takabayashi; Mitsuru Hiura; Hiroshi Morohoshi; Nobuhiro Kodachi; Tatsuya Hayashi; Atsushi Kimura; Takahiro Yoshida; Kazuhiko Mishima; Yoshio Suzaki; Jin Choi

Imprint lithography has been shown to be a promising technique for replication of nano-scale features. Jet and Flash Imprint Lithography* (J-FIL*) involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. There are many criteria that determine whether a particular technology is ready for wafer manufacturing. Included on the list are overlay, throughput and defectivity. The most demanding devices now require overlay of better than 4nm, 3 sigma. Throughput for an imprint tool is generally targeted at 80 wafers per hour. Defectivity and mask life play a significant role relative to meeting the cost of ownership (CoO) requirements in the production of semiconductor devices. The purpose of this paper is to report the status of throughput and defectivity work and to describe the progress made in addressing overlay for advanced devices. In order to address high order corrections, a high order distortion correction (HODC) system is introduced. The combination of applying magnification actuation to the mask, and temperature correction to the wafer is described in detail and examples are presented for the correction of K7, K11 and K17 distortions as well as distortions on actual device wafers.


Photomask Technology | 2017

Progress in nanoimprint wafer and mask systems for high volume semiconductor manufacturing

Mitsuru Hiura; Tatsuya Hayashi; Atsushi Kimura; Yoshio Suzaki; Kohei Imoto; Jin Choi; Hiroshi Morohoshi

Nanoimprint lithography manufacturing equipment utilizes a patterning technology that involves the field-by-field deposition and exposure of a low viscosity resist deposited by jetting technology onto the substrate. The patterned mask is lowered into the fluid which then quickly flows into the relief patterns in the mask by capillary action. Following this filling step, the resist is crosslinked under UV radiation, and then the mask is removed, leaving a patterned resist on the substrate. The technology faithfully reproduces patterns with a higher resolution and greater uniformity compared to those produced by photolithography equipment. Additionally, as this technology does not require an array of widediameter lenses and the expensive light sources necessary for advanced photolithography equipment, NIL equipment achieves a simpler, more compact design, allowing for multiple units to be clustered together for increased productivity. In this paper, we review the advancements in both wafer imprinting and mask replication systems. To address high volume manufacturing concerns, an FPA-1200 NZ2C four station cluster tool is used in order to meet throughput and cost of ownership requirements (CoO). The status of the tool overlay is discussed. Application of a High Order Distortion Correction system to the existing magnification actuator has enabled correction of high order distortion terms up to K20. Because mask replication is required for nanoimprint lithography, improvements to the FPA-1100 NR2 mask replication system are reviewed. Criteria that are crucial to the success of a replication platform include both particle control and image placement (IP) accuracy. Data is presented on both of these subjects. Particle adders were studied over a nine month period. Additionally, with respect to image placement, an IP accuracy (after removing correctables) of 1.0nm in X, 1.1nm in Y has been demonstrated.


Archive | 2013

Imprint device and manufacturing method of article

Takayasu Hasegawa; Tatsuya Hayashi; Yoshihiro Shiode; Setsuo Yoshida; 節男 吉田; 吉宏 塩出; 林 達也; 敬恭 長谷川


Archive | 2005

Technique of suppressing influence of contamination of exposure atmosphere

Tatsuya Hayashi


Archive | 2011

Imprint apparatus and article manufacturing method

Setsuo Yoshida; Noriyasu Hasegawa; Yoshihiro Shiode; Tatsuya Hayashi


Archive | 2017

IMPRINT APPARATUS, IMPRINTING METHOD, AND MANUFACTURING METHOD OF ARTICLE

Yusuke Tanaka; Takahiro Matsumoto; Tatsuya Hayashi


Archive | 2015

インプリント装置、インプリント方法、及びデバイス製造方法

林 達也; Tatsuya Hayashi; 村上 洋介; Yosuke Murakami; 洋介 村上; 敬恭 長谷川; Takayasu Hasegawa; 弘稔 鳥居; Hirotoshi Torii; 悠輔 田中; Yusuke Tanaka

Collaboration


Dive into the Tatsuya Hayashi's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge