Network


Latest external collaboration on country level. Dive into details by clicking on the dots.

Hotspot


Dive into the research topics where Thomas Wallow is active.

Publication


Featured researches published by Thomas Wallow.


Proceedings of SPIE | 2010

Characterization of line-edge roughness (LER) propagation from resists: underlayer interfaces in ultrathin resist films

Simi George; Patrick P. Naulleau; Ahila Krishnamoorthy; Ze-Yu Wu; Edward W. Rutter; Joseph Kennedy; Song Yuan Xie; Kyle Flanigan; Thomas Wallow

Line edge roughness evolutions in EUV resist patterns are investigated. Three dimensional scanning electron microscopy images show the pattern sidewall roughness to be highly anisotropic and the roughness to be propagating from the resistsubstrate interface up the resist pattern sidewall. In ultrathin resist films, (film thickness ca. 100 nm and below) roughness is found to be fully correlated from the resist-substrate interface to the resist-air interface. This behavior is seen regardless of the resist platforms being used. Underlayer stack roughness contributions to the pattern sidewall roughness leading to resist LER were examined and no correlations between the two were found. At the same time, the chemical properties of the underlayer stacks are shown to have strong influences on the resist roughness and process performance. Exact mechanisms behind this are not clearly understood at present.


Proceedings of SPIE | 2010

Comparative study of line width roughness (LWR) in next-generation lithography (NGL) processes

Kedar Patel; Thomas Wallow; Harry J. Levinson; Costas J. Spanos

In this paper, we conduct a comprehensive comparative study of next-generation lithography (NGL) processes in terms of their line width roughness (LWR) performance. We investigate mainstream lithography options such as double patterning lithography (DPL), self-aligned double patterning (SADP), and extreme ultra-violet (EUV), as well as alternatives such as directed self-assembly (DSA) and nano-imprint lithography (NIL). Given the distinctly different processing steps, LWR arises from different sources for these patterning methods, and a unified, universally applicable set of metrics must be chosen for useful comparisons. For each NGL, we evaluate the LWR performance in terms of three descriptors, namely, the variation in RMS amplitude (σ), correlation length (see manuscript) and the roughness exponent (α). The correlation length (which indicates the distance along the edge beyond which any two linewidth measurements can be considered independent) for NGL processes is found to range from 8 to 24 nm. It has been observed that LWR decreases when transferred from resist into the final substrate and all NGL technology options produce < 5% final LWR. We also compare our results with 2008 ITRS roadmap. Additionally, for the first time, spatial frequency transfer characteristics for DSA and SADP are being reported. Based on our study, the roughness exponent (which corresponds to local smoothness) is found to range from ~0.75-0.98; it is close to being ideal (α = 1) for DSA. Lastly using EUV as an example, we show the importance of process optimization as these technologies mature.


Proceedings of SPIE | 2012

EUV OPC for the 20-nm node and beyond

Chris Clifford; Yi Zou; Azat Latypov; Oleg Kritsun; Thomas Wallow; Harry J. Levinson; Fan Jiang; Deniz E. Civay; Keith Standiford; Ralph Schlief; Lei Sun; Obert Wood; Sudhar Raghunathan; Pawitter Mangat; Hui Peng Koh; Craig Higgins; Jeffrey Schefske; Mandeep Singh

Although the k1 factor is large for extreme ultraviolet (EUV) lithography compared to deep ultraviolet (DUV) lithography, OPC is still needed to print the intended patterns on the wafer. This is primarily because of new non-idealities, related to the inability of materials to absorb, reflect, or refract light well at 13.5nm, which must be corrected by OPC. So, for EUV, OPC is much more than conventional optical proximity correction. This work will focus on EUV OPC error sources in the context of an EUV OPC specific error budget for future technology nodes. The three error sources considered in this paper are flare, horizontal and vertical print differences, and mask writing errors. The OPC flow and computation requirements of EUV OPC are analyzed as well and compared to DUV. Conventional optical proximity correction is simpler and faster for EUV compared to DUV because of the larger k1 factor. But, flare and H-V biasing make exploitation of design hierarchy more difficult.


Proceedings of SPIE | 2011

Photoresist shrinkage effects at EUV

Thomas V. Pistor; Thomas Wallow; Christopher N. Anderson; Patrick P. Naulleau

Volumetric mechanical resist shrinkage is shown to cause pattern distortions and profile footing. The shrinkage-induced pattern distortions include corner rounding effects and are essentially the explanation for the corner rounding bias previously observed by Anderson et al.[1]. Two previously-studied mechanisms for resist shrinkage are described: SEM-induced shrinkage and deprotection-induced shrinkage. A third mechanism, shrinkage induced at post-apply bake is also described. Experiments indicate that SEM-induced shrinkage accounts for the vast majority of shrinkage and pattern distortion while deprotection-induced shrinkage, although present, does not contribute significantly to pattern distortion. Shrinkage due to post-apply bake was not observed and thought to be insignificant. A three-dimensional model for shrinkage, based on the standard elastostatic problem in solid mechanics was implemented into a lithography simulator. The model was able to predict two dimensional pattern distortions similar to those observed experimentally.


Proceedings of SPIE | 2011

The SEMATECH Berkeley MET: extending EUV learning down to 16nm half pitch

Christopher N. Anderson; Lorie Mae Baclea-an; Paul Denham; Simi George; Kenneth A. Goldberg; Michael S. Jones; Nathan S. Smith; Thomas Wallow; Warren Montgomery; Patrick P. Naulleau

Several high-performing resists identified in the past two years have been exposed at the 0.3-numerical-aperture (NA) SEMATECH Berkeley Microfield Exposure Tool (BMET) with an engineered dipole illumination optimized for 18-nm half pitch. Five chemically amplified platforms were found to support 20-nm dense patterning at a film thickness of approximately 45 nm. At 19-nm half pitch, however, scattered bridging kept all of these resists from cleanly resolving larger areas of dense features. At 18-nm half pitch, none of the resists were are able to cleanly resolve a single line within a bulk pattern. With this same illumination a directly imageable metal oxide hardmask showed excellent performance from 22-nm half pitch to 17-nm half pitch, and good performance at 16-nm half pitch, closely following the predicted aerial image contrast. This indicates that observed limitations of the chemically amplified resists are indeed coming from the resist and not from a shortcoming of the exposure tool. The imageable hardmask was also exposed using a Pseudo Phase-Shift-Mask technique and achieved clean printing of 15-nm half pitch lines and modulation all the way down to the theoretical 12.5-nm resolution limit of the 0.3-NA SEMATECH BMET.


Proceedings of SPIE | 2012

Computational study of line tip printability of sub-20-nm technology

Lei Yuan; Thomas Wallow; Deniz E. Civay; Linus Jang; Jongwook Kye; Harry J. Levinson; Sohan Singh; Mark Kelling

This paper illustrates the increasing importance of line tip printing as measured by the size of the weak line tip zone for sub-20nm technology. This paper suggests adding line tip printability into sub-20nm lithography performance metric in addition to the conventional tip-to-tip resolution. This study shows that these two metrics sometimes respond to lithography conditions inversely. The importance of including line tip printability into technology evaluation is demonstrated by comparing LELE optical lithography and EUV lithography. Also, line tip printing with EUV lithography is explored with various illumination conditions and resist developer tones.


Journal of Micro-nanolithography Mems and Moems | 2015

Subresolution assist features in extreme ultraviolet lithography

Deniz E. Civay; Erik Verduijn; Chris Clifford; Pawitter Mangat; Thomas Wallow

Abstract. Lithographic critical dimension (CD) printing variability can be easily captured with a CD uniformity measurement; however, minimizing the variability is a challenging task that requires manipulation of many variables. Contact hole variability has a direct impact on device performance, while via variability affects metal area scaling and design. Subresolution assist features (SRAFs) have been used in the past to improve lithographic printing variability. SRAFs enhance the image log slope of nearby features but are not intended to print themselves. The role of SRAFs in extreme ultraviolet is explored here.


Proceedings of SPIE | 2011

Revisit pattern collapse for 14nm node and beyond

Kenji Yoshimoto; Craig Higgins; Ananthan Raghunathan; John G. Hartley; Dario L. Goldfarb; Hirokazu Kato; Karen Petrillo; Matthew E. Colburn; Jeffrey Schefske; Obert Wood; Thomas Wallow

In this study, we have analyzed new data sets of pattern collapse obtained from 300 mm wafers which were coated with a process-of-record (POR) EUV resist and exposed by an EUV Alpha-Demo tool (ADT) and a Vistec VB300 e-beam exposure tool. In order to minimize any processing effects on pattern collapse, the same POR EUV track process was applied to both exposures. A key metric of our analysis is the critical aspect ratio of collapse (CARC)1. We found that CARC of POR EUV resist decreases monotonically with spacing, in the range of ~1.8-2.2 at ~32-54 nm space (60-80 nm pitch) for EUV, and ~1.5-2.1 at ~16-50 nm space (~46-80 nm pitch) for e-beam. We also estimated an apparent Youngs modulus of POR EUV resist by fitting a collapse model2 to the CARC data. The resulting modulus ~0.30 GPa was much smaller than the modulus of typical polymer glasses (~1.0-5.0 GPa). Our findings suggest that due to a significant decrease of resist mechanical properties and a sharp increase in capillary force, it will be challenging to maintain aspect ratios above 2.0 for sub-30 nm resist spacing (sub-60 nm pitches). For patterning at these dimensions, alternate processes and materials will become increasingly necessary, e.g. surfactant-based rinse solutions3 and other approaches.


Proceedings of SPIE | 2011

Absorber height effects on SWA restrictions and shadow LER

Brittany M. McClinton; Patrick P. Naulleau; Thomas Wallow

In this study, we look at the 3D effects of absorber height on mask patterns for extreme-ultraviolet lithography (EUVL). Our first consideration is the extent to which sidewall angle (SWA) constrains the process window. Taking 10% of the total CD error budget as an acceptable tolerance, this amounted to 0.2nm of tolerable SWA-induced CD error. Results for the three nominal SWA cases show that the angle needs to be constrained to within about 0.5 degrees. Overall, the results above indicate that not only is there not much change in sensitivity on changing angle as a function of nominal angle, but also that the nominal angle has no large effect on process window size. Secondly, we consider how off-axis illumination shadowing of the mask absorber pattern effects line-edge-roughness (LER). Data suggests shadowing causes minimal differences between the left- and right-side LER for the 22nm half-pitch node under disk σ = 0.5 illumination and 70nm absorber height. For 16nm half-pitch with crosspole σ = 0.2, significant differences were seen.


Proceedings of SPIE | 2009

Correlation of EUV resist performance metrics in micro-exposure and full-field EUV projection tools

Thomas Wallow; Bill Pierson; Hiroyuki Mizuno; Anita Fumar-Pici; Karen Petrillo; Christopher N. Anderson; Patrick P. Naulleau; Steven G. Hansen; Yunfei Deng; Koen van Ingen Schenau; Chiew-seng Koay; Linda Ohara; Sang-In Han; Robert Watso; Lior Huli; Martin Burkhardt; Obert Wood; J. Mallmann; Bart Kessels; Robert Routh; Kevin Cummings

We describe progress in implementation of blur-based resolution metrics for EUV photoresists. Three sets of blur metrics were evaluated as exposure-tool independent comparison methods using the Sematech-LBNL EUV microexposure tool (MET) and ASML α-Demo Tool (ADT) full-field EUV scanner. For the two EUV resists studied here, deprotection blurs of 15 nm are consistently measured using blur estimation methods based on corner rounding, contact hole exposure latitude, and process window fitting using chemical amplification lumped parameter models. Agreement between methods and exposure tools appears excellent. For both resists, SRAM-type lithographic diagnostic patterns at 80 nm pitch are only modestly sensitive to OPC blur compensation and display robust printability (RELS ~ ILS near 50 μm-1 for multiple trench geometries) on the ASML ADT. These findings confirm the continuing utility of blur-based metrics in a) guiding resist selection for use in EUV process development and integration at the 22 nm logic node and below, and b) providing an exposure-tool independent set of metrics for assessing progress in EUV resist development.

Collaboration


Dive into the Thomas Wallow's collaboration.

Top Co-Authors

Avatar
Top Co-Authors

Avatar

Patrick P. Naulleau

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar

Christopher N. Anderson

Lawrence Berkeley National Laboratory

View shared research outputs
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Top Co-Authors

Avatar
Researchain Logo
Decentralizing Knowledge